GNU Linux-libre 4.19.264-gnu1
[releases.git] / drivers / clk / ti / divider.c
1 /*
2  * TI Divider Clock
3  *
4  * Copyright (C) 2013 Texas Instruments, Inc.
5  *
6  * Tero Kristo <t-kristo@ti.com>
7  *
8  * This program is free software; you can redistribute it and/or modify
9  * it under the terms of the GNU General Public License version 2 as
10  * published by the Free Software Foundation.
11  *
12  * This program is distributed "as is" WITHOUT ANY WARRANTY of any
13  * kind, whether express or implied; without even the implied warranty
14  * of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
15  * GNU General Public License for more details.
16  */
17
18 #include <linux/clk-provider.h>
19 #include <linux/slab.h>
20 #include <linux/err.h>
21 #include <linux/of.h>
22 #include <linux/of_address.h>
23 #include <linux/clk/ti.h>
24 #include "clock.h"
25
26 #undef pr_fmt
27 #define pr_fmt(fmt) "%s: " fmt, __func__
28
29 #define div_mask(d)     ((1 << ((d)->width)) - 1)
30
31 static unsigned int _get_table_maxdiv(const struct clk_div_table *table)
32 {
33         unsigned int maxdiv = 0;
34         const struct clk_div_table *clkt;
35
36         for (clkt = table; clkt->div; clkt++)
37                 if (clkt->div > maxdiv)
38                         maxdiv = clkt->div;
39         return maxdiv;
40 }
41
42 static unsigned int _get_maxdiv(struct clk_omap_divider *divider)
43 {
44         if (divider->flags & CLK_DIVIDER_ONE_BASED)
45                 return div_mask(divider);
46         if (divider->flags & CLK_DIVIDER_POWER_OF_TWO)
47                 return 1 << div_mask(divider);
48         if (divider->table)
49                 return _get_table_maxdiv(divider->table);
50         return div_mask(divider) + 1;
51 }
52
53 static unsigned int _get_table_div(const struct clk_div_table *table,
54                                    unsigned int val)
55 {
56         const struct clk_div_table *clkt;
57
58         for (clkt = table; clkt->div; clkt++)
59                 if (clkt->val == val)
60                         return clkt->div;
61         return 0;
62 }
63
64 static unsigned int _get_div(struct clk_omap_divider *divider, unsigned int val)
65 {
66         if (divider->flags & CLK_DIVIDER_ONE_BASED)
67                 return val;
68         if (divider->flags & CLK_DIVIDER_POWER_OF_TWO)
69                 return 1 << val;
70         if (divider->table)
71                 return _get_table_div(divider->table, val);
72         return val + 1;
73 }
74
75 static unsigned int _get_table_val(const struct clk_div_table *table,
76                                    unsigned int div)
77 {
78         const struct clk_div_table *clkt;
79
80         for (clkt = table; clkt->div; clkt++)
81                 if (clkt->div == div)
82                         return clkt->val;
83         return 0;
84 }
85
86 static unsigned int _get_val(struct clk_omap_divider *divider, u8 div)
87 {
88         if (divider->flags & CLK_DIVIDER_ONE_BASED)
89                 return div;
90         if (divider->flags & CLK_DIVIDER_POWER_OF_TWO)
91                 return __ffs(div);
92         if (divider->table)
93                 return  _get_table_val(divider->table, div);
94         return div - 1;
95 }
96
97 static unsigned long ti_clk_divider_recalc_rate(struct clk_hw *hw,
98                                                 unsigned long parent_rate)
99 {
100         struct clk_omap_divider *divider = to_clk_omap_divider(hw);
101         unsigned int div, val;
102
103         val = ti_clk_ll_ops->clk_readl(&divider->reg) >> divider->shift;
104         val &= div_mask(divider);
105
106         div = _get_div(divider, val);
107         if (!div) {
108                 WARN(!(divider->flags & CLK_DIVIDER_ALLOW_ZERO),
109                      "%s: Zero divisor and CLK_DIVIDER_ALLOW_ZERO not set\n",
110                      clk_hw_get_name(hw));
111                 return parent_rate;
112         }
113
114         return DIV_ROUND_UP(parent_rate, div);
115 }
116
117 /*
118  * The reverse of DIV_ROUND_UP: The maximum number which
119  * divided by m is r
120  */
121 #define MULT_ROUND_UP(r, m) ((r) * (m) + (m) - 1)
122
123 static bool _is_valid_table_div(const struct clk_div_table *table,
124                                 unsigned int div)
125 {
126         const struct clk_div_table *clkt;
127
128         for (clkt = table; clkt->div; clkt++)
129                 if (clkt->div == div)
130                         return true;
131         return false;
132 }
133
134 static bool _is_valid_div(struct clk_omap_divider *divider, unsigned int div)
135 {
136         if (divider->flags & CLK_DIVIDER_POWER_OF_TWO)
137                 return is_power_of_2(div);
138         if (divider->table)
139                 return _is_valid_table_div(divider->table, div);
140         return true;
141 }
142
143 static int _div_round_up(const struct clk_div_table *table,
144                          unsigned long parent_rate, unsigned long rate)
145 {
146         const struct clk_div_table *clkt;
147         int up = INT_MAX;
148         int div = DIV_ROUND_UP_ULL((u64)parent_rate, rate);
149
150         for (clkt = table; clkt->div; clkt++) {
151                 if (clkt->div == div)
152                         return clkt->div;
153                 else if (clkt->div < div)
154                         continue;
155
156                 if ((clkt->div - div) < (up - div))
157                         up = clkt->div;
158         }
159
160         return up;
161 }
162
163 static int _div_round(const struct clk_div_table *table,
164                       unsigned long parent_rate, unsigned long rate)
165 {
166         if (!table)
167                 return DIV_ROUND_UP(parent_rate, rate);
168
169         return _div_round_up(table, parent_rate, rate);
170 }
171
172 static int ti_clk_divider_bestdiv(struct clk_hw *hw, unsigned long rate,
173                                   unsigned long *best_parent_rate)
174 {
175         struct clk_omap_divider *divider = to_clk_omap_divider(hw);
176         int i, bestdiv = 0;
177         unsigned long parent_rate, best = 0, now, maxdiv;
178         unsigned long parent_rate_saved = *best_parent_rate;
179
180         if (!rate)
181                 rate = 1;
182
183         maxdiv = _get_maxdiv(divider);
184
185         if (!(clk_hw_get_flags(hw) & CLK_SET_RATE_PARENT)) {
186                 parent_rate = *best_parent_rate;
187                 bestdiv = _div_round(divider->table, parent_rate, rate);
188                 bestdiv = bestdiv == 0 ? 1 : bestdiv;
189                 bestdiv = bestdiv > maxdiv ? maxdiv : bestdiv;
190                 return bestdiv;
191         }
192
193         /*
194          * The maximum divider we can use without overflowing
195          * unsigned long in rate * i below
196          */
197         maxdiv = min(ULONG_MAX / rate, maxdiv);
198
199         for (i = 1; i <= maxdiv; i++) {
200                 if (!_is_valid_div(divider, i))
201                         continue;
202                 if (rate * i == parent_rate_saved) {
203                         /*
204                          * It's the most ideal case if the requested rate can be
205                          * divided from parent clock without needing to change
206                          * parent rate, so return the divider immediately.
207                          */
208                         *best_parent_rate = parent_rate_saved;
209                         return i;
210                 }
211                 parent_rate = clk_hw_round_rate(clk_hw_get_parent(hw),
212                                 MULT_ROUND_UP(rate, i));
213                 now = DIV_ROUND_UP(parent_rate, i);
214                 if (now <= rate && now > best) {
215                         bestdiv = i;
216                         best = now;
217                         *best_parent_rate = parent_rate;
218                 }
219         }
220
221         if (!bestdiv) {
222                 bestdiv = _get_maxdiv(divider);
223                 *best_parent_rate =
224                         clk_hw_round_rate(clk_hw_get_parent(hw), 1);
225         }
226
227         return bestdiv;
228 }
229
230 static long ti_clk_divider_round_rate(struct clk_hw *hw, unsigned long rate,
231                                       unsigned long *prate)
232 {
233         int div;
234         div = ti_clk_divider_bestdiv(hw, rate, prate);
235
236         return DIV_ROUND_UP(*prate, div);
237 }
238
239 static int ti_clk_divider_set_rate(struct clk_hw *hw, unsigned long rate,
240                                    unsigned long parent_rate)
241 {
242         struct clk_omap_divider *divider;
243         unsigned int div, value;
244         u32 val;
245
246         if (!hw || !rate)
247                 return -EINVAL;
248
249         divider = to_clk_omap_divider(hw);
250
251         div = DIV_ROUND_UP(parent_rate, rate);
252         value = _get_val(divider, div);
253
254         if (value > div_mask(divider))
255                 value = div_mask(divider);
256
257         if (divider->flags & CLK_DIVIDER_HIWORD_MASK) {
258                 val = div_mask(divider) << (divider->shift + 16);
259         } else {
260                 val = ti_clk_ll_ops->clk_readl(&divider->reg);
261                 val &= ~(div_mask(divider) << divider->shift);
262         }
263         val |= value << divider->shift;
264         ti_clk_ll_ops->clk_writel(val, &divider->reg);
265
266         ti_clk_latch(&divider->reg, divider->latch);
267
268         return 0;
269 }
270
271 const struct clk_ops ti_clk_divider_ops = {
272         .recalc_rate = ti_clk_divider_recalc_rate,
273         .round_rate = ti_clk_divider_round_rate,
274         .set_rate = ti_clk_divider_set_rate,
275 };
276
277 static struct clk *_register_divider(struct device *dev, const char *name,
278                                      const char *parent_name,
279                                      unsigned long flags,
280                                      struct clk_omap_reg *reg,
281                                      u8 shift, u8 width, s8 latch,
282                                      u8 clk_divider_flags,
283                                      const struct clk_div_table *table)
284 {
285         struct clk_omap_divider *div;
286         struct clk *clk;
287         struct clk_init_data init;
288
289         if (clk_divider_flags & CLK_DIVIDER_HIWORD_MASK) {
290                 if (width + shift > 16) {
291                         pr_warn("divider value exceeds LOWORD field\n");
292                         return ERR_PTR(-EINVAL);
293                 }
294         }
295
296         /* allocate the divider */
297         div = kzalloc(sizeof(*div), GFP_KERNEL);
298         if (!div)
299                 return ERR_PTR(-ENOMEM);
300
301         init.name = name;
302         init.ops = &ti_clk_divider_ops;
303         init.flags = flags | CLK_IS_BASIC;
304         init.parent_names = (parent_name ? &parent_name : NULL);
305         init.num_parents = (parent_name ? 1 : 0);
306
307         /* struct clk_divider assignments */
308         memcpy(&div->reg, reg, sizeof(*reg));
309         div->shift = shift;
310         div->width = width;
311         div->latch = latch;
312         div->flags = clk_divider_flags;
313         div->hw.init = &init;
314         div->table = table;
315
316         /* register the clock */
317         clk = ti_clk_register(dev, &div->hw, name);
318
319         if (IS_ERR(clk))
320                 kfree(div);
321
322         return clk;
323 }
324
325 int ti_clk_parse_divider_data(int *div_table, int num_dividers, int max_div,
326                               u8 flags, u8 *width,
327                               const struct clk_div_table **table)
328 {
329         int valid_div = 0;
330         u32 val;
331         int div;
332         int i;
333         struct clk_div_table *tmp;
334
335         if (!div_table) {
336                 if (flags & CLKF_INDEX_STARTS_AT_ONE)
337                         val = 1;
338                 else
339                         val = 0;
340
341                 div = 1;
342
343                 while (div < max_div) {
344                         if (flags & CLKF_INDEX_POWER_OF_TWO)
345                                 div <<= 1;
346                         else
347                                 div++;
348                         val++;
349                 }
350
351                 *width = fls(val);
352                 *table = NULL;
353
354                 return 0;
355         }
356
357         i = 0;
358
359         while (!num_dividers || i < num_dividers) {
360                 if (div_table[i] == -1)
361                         break;
362                 if (div_table[i])
363                         valid_div++;
364                 i++;
365         }
366
367         num_dividers = i;
368
369         tmp = kcalloc(valid_div + 1, sizeof(*tmp), GFP_KERNEL);
370         if (!tmp) {
371                 *table = ERR_PTR(-ENOMEM);
372                 return -ENOMEM;
373         }
374
375         valid_div = 0;
376         *width = 0;
377
378         for (i = 0; i < num_dividers; i++)
379                 if (div_table[i] > 0) {
380                         tmp[valid_div].div = div_table[i];
381                         tmp[valid_div].val = i;
382                         valid_div++;
383                         *width = i;
384                 }
385
386         *width = fls(*width);
387         *table = tmp;
388
389         return 0;
390 }
391
392 static const struct clk_div_table *
393 _get_div_table_from_setup(struct ti_clk_divider *setup, u8 *width)
394 {
395         const struct clk_div_table *table = NULL;
396
397         ti_clk_parse_divider_data(setup->dividers, setup->num_dividers,
398                                   setup->max_div, setup->flags, width,
399                                   &table);
400
401         return table;
402 }
403
404 struct clk_hw *ti_clk_build_component_div(struct ti_clk_divider *setup)
405 {
406         struct clk_omap_divider *div;
407         struct clk_omap_reg *reg;
408         int ret;
409
410         if (!setup)
411                 return NULL;
412
413         div = kzalloc(sizeof(*div), GFP_KERNEL);
414         if (!div)
415                 return ERR_PTR(-ENOMEM);
416
417         reg = (struct clk_omap_reg *)&div->reg;
418         reg->index = setup->module;
419         reg->offset = setup->reg;
420
421         if (setup->flags & CLKF_INDEX_STARTS_AT_ONE)
422                 div->flags |= CLK_DIVIDER_ONE_BASED;
423
424         if (setup->flags & CLKF_INDEX_POWER_OF_TWO)
425                 div->flags |= CLK_DIVIDER_POWER_OF_TWO;
426
427         div->table = _get_div_table_from_setup(setup, &div->width);
428         if (IS_ERR(div->table)) {
429                 ret = PTR_ERR(div->table);
430                 kfree(div);
431                 return ERR_PTR(ret);
432         }
433
434
435         div->shift = setup->bit_shift;
436         div->latch = -EINVAL;
437
438         return &div->hw;
439 }
440
441 struct clk *ti_clk_register_divider(struct ti_clk *setup)
442 {
443         struct ti_clk_divider *div = setup->data;
444         struct clk_omap_reg reg = {
445                 .index = div->module,
446                 .offset = div->reg,
447         };
448         u8 width;
449         u32 flags = 0;
450         u8 div_flags = 0;
451         const struct clk_div_table *table;
452         struct clk *clk;
453
454         if (div->flags & CLKF_INDEX_STARTS_AT_ONE)
455                 div_flags |= CLK_DIVIDER_ONE_BASED;
456
457         if (div->flags & CLKF_INDEX_POWER_OF_TWO)
458                 div_flags |= CLK_DIVIDER_POWER_OF_TWO;
459
460         if (div->flags & CLKF_SET_RATE_PARENT)
461                 flags |= CLK_SET_RATE_PARENT;
462
463         table = _get_div_table_from_setup(div, &width);
464         if (IS_ERR(table))
465                 return (struct clk *)table;
466
467         clk = _register_divider(NULL, setup->name, div->parent,
468                                 flags, &reg, div->bit_shift,
469                                 width, -EINVAL, div_flags, table);
470
471         if (IS_ERR(clk))
472                 kfree(table);
473
474         return clk;
475 }
476
477 static struct clk_div_table *
478 __init ti_clk_get_div_table(struct device_node *node)
479 {
480         struct clk_div_table *table;
481         const __be32 *divspec;
482         u32 val;
483         u32 num_div;
484         u32 valid_div;
485         int i;
486
487         divspec = of_get_property(node, "ti,dividers", &num_div);
488
489         if (!divspec)
490                 return NULL;
491
492         num_div /= 4;
493
494         valid_div = 0;
495
496         /* Determine required size for divider table */
497         for (i = 0; i < num_div; i++) {
498                 of_property_read_u32_index(node, "ti,dividers", i, &val);
499                 if (val)
500                         valid_div++;
501         }
502
503         if (!valid_div) {
504                 pr_err("no valid dividers for %s table\n", node->name);
505                 return ERR_PTR(-EINVAL);
506         }
507
508         table = kcalloc(valid_div + 1, sizeof(*table), GFP_KERNEL);
509
510         if (!table)
511                 return ERR_PTR(-ENOMEM);
512
513         valid_div = 0;
514
515         for (i = 0; i < num_div; i++) {
516                 of_property_read_u32_index(node, "ti,dividers", i, &val);
517                 if (val) {
518                         table[valid_div].div = val;
519                         table[valid_div].val = i;
520                         valid_div++;
521                 }
522         }
523
524         return table;
525 }
526
527 static int _get_divider_width(struct device_node *node,
528                               const struct clk_div_table *table,
529                               u8 flags)
530 {
531         u32 min_div;
532         u32 max_div;
533         u32 val = 0;
534         u32 div;
535
536         if (!table) {
537                 /* Clk divider table not provided, determine min/max divs */
538                 if (of_property_read_u32(node, "ti,min-div", &min_div))
539                         min_div = 1;
540
541                 if (of_property_read_u32(node, "ti,max-div", &max_div)) {
542                         pr_err("no max-div for %s!\n", node->name);
543                         return -EINVAL;
544                 }
545
546                 /* Determine bit width for the field */
547                 if (flags & CLK_DIVIDER_ONE_BASED)
548                         val = 1;
549
550                 div = min_div;
551
552                 while (div < max_div) {
553                         if (flags & CLK_DIVIDER_POWER_OF_TWO)
554                                 div <<= 1;
555                         else
556                                 div++;
557                         val++;
558                 }
559         } else {
560                 div = 0;
561
562                 while (table[div].div) {
563                         val = table[div].val;
564                         div++;
565                 }
566         }
567
568         return fls(val);
569 }
570
571 static int __init ti_clk_divider_populate(struct device_node *node,
572         struct clk_omap_reg *reg, const struct clk_div_table **table,
573         u32 *flags, u8 *div_flags, u8 *width, u8 *shift, s8 *latch)
574 {
575         u32 val;
576         int ret;
577
578         ret = ti_clk_get_reg_addr(node, 0, reg);
579         if (ret)
580                 return ret;
581
582         if (!of_property_read_u32(node, "ti,bit-shift", &val))
583                 *shift = val;
584         else
585                 *shift = 0;
586
587         if (latch) {
588                 if (!of_property_read_u32(node, "ti,latch-bit", &val))
589                         *latch = val;
590                 else
591                         *latch = -EINVAL;
592         }
593
594         *flags = 0;
595         *div_flags = 0;
596
597         if (of_property_read_bool(node, "ti,index-starts-at-one"))
598                 *div_flags |= CLK_DIVIDER_ONE_BASED;
599
600         if (of_property_read_bool(node, "ti,index-power-of-two"))
601                 *div_flags |= CLK_DIVIDER_POWER_OF_TWO;
602
603         if (of_property_read_bool(node, "ti,set-rate-parent"))
604                 *flags |= CLK_SET_RATE_PARENT;
605
606         *table = ti_clk_get_div_table(node);
607
608         if (IS_ERR(*table))
609                 return PTR_ERR(*table);
610
611         *width = _get_divider_width(node, *table, *div_flags);
612
613         return 0;
614 }
615
616 /**
617  * of_ti_divider_clk_setup - Setup function for simple div rate clock
618  * @node: device node for this clock
619  *
620  * Sets up a basic divider clock.
621  */
622 static void __init of_ti_divider_clk_setup(struct device_node *node)
623 {
624         struct clk *clk;
625         const char *parent_name;
626         struct clk_omap_reg reg;
627         u8 clk_divider_flags = 0;
628         u8 width = 0;
629         u8 shift = 0;
630         s8 latch = -EINVAL;
631         const struct clk_div_table *table = NULL;
632         u32 flags = 0;
633
634         parent_name = of_clk_get_parent_name(node, 0);
635
636         if (ti_clk_divider_populate(node, &reg, &table, &flags,
637                                     &clk_divider_flags, &width, &shift, &latch))
638                 goto cleanup;
639
640         clk = _register_divider(NULL, node->name, parent_name, flags, &reg,
641                                 shift, width, latch, clk_divider_flags, table);
642
643         if (!IS_ERR(clk)) {
644                 of_clk_add_provider(node, of_clk_src_simple_get, clk);
645                 of_ti_clk_autoidle_setup(node);
646                 return;
647         }
648
649 cleanup:
650         kfree(table);
651 }
652 CLK_OF_DECLARE(divider_clk, "ti,divider-clock", of_ti_divider_clk_setup);
653
654 static void __init of_ti_composite_divider_clk_setup(struct device_node *node)
655 {
656         struct clk_omap_divider *div;
657         u32 val;
658
659         div = kzalloc(sizeof(*div), GFP_KERNEL);
660         if (!div)
661                 return;
662
663         if (ti_clk_divider_populate(node, &div->reg, &div->table, &val,
664                                     &div->flags, &div->width, &div->shift,
665                                     NULL) < 0)
666                 goto cleanup;
667
668         if (!ti_clk_add_component(node, &div->hw, CLK_COMPONENT_TYPE_DIVIDER))
669                 return;
670
671 cleanup:
672         kfree(div->table);
673         kfree(div);
674 }
675 CLK_OF_DECLARE(ti_composite_divider_clk, "ti,composite-divider-clock",
676                of_ti_composite_divider_clk_setup);