GNU Linux-libre 4.9.337-gnu1
[releases.git] / drivers / staging / rtl8188eu / os_dep / usb_ops_linux.c
1 /******************************************************************************
2  *
3  * Copyright(c) 2007 - 2012 Realtek Corporation. All rights reserved.
4  *
5  * This program is free software; you can redistribute it and/or modify it
6  * under the terms of version 2 of the GNU General Public License as
7  * published by the Free Software Foundation.
8  *
9  * This program is distributed in the hope that it will be useful, but WITHOUT
10  * ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
11  * FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for
12  * more details.
13  *
14  ******************************************************************************/
15 #define _USB_OPS_LINUX_C_
16
17 #include <drv_types.h>
18 #include <recv_osdep.h>
19 #include <rtw_sreset.h>
20
21 static void interrupt_handler_8188eu(struct adapter *adapt, u16 pkt_len, u8 *pbuf)
22 {
23         struct hal_data_8188e *haldata = adapt->HalData;
24
25         if (pkt_len != INTERRUPT_MSG_FORMAT_LEN) {
26                 DBG_88E("%s Invalid interrupt content length (%d)!\n", __func__, pkt_len);
27                 return;
28         }
29
30         /*  HISR */
31         memcpy(&(haldata->IntArray[0]), &(pbuf[USB_INTR_CONTENT_HISR_OFFSET]), 4);
32         memcpy(&(haldata->IntArray[1]), &(pbuf[USB_INTR_CONTENT_HISRE_OFFSET]), 4);
33
34         /*  C2H Event */
35         if (pbuf[0] != 0)
36                 memcpy(&(haldata->C2hArray[0]), &(pbuf[USB_INTR_CONTENT_C2H_OFFSET]), 16);
37 }
38
39 static int recvbuf2recvframe(struct adapter *adapt, struct sk_buff *pskb)
40 {
41         u8      *pbuf;
42         u8      shift_sz = 0;
43         u16     pkt_cnt;
44         u32     pkt_offset, skb_len, alloc_sz;
45         s32     transfer_len;
46         struct recv_stat        *prxstat;
47         struct phy_stat *pphy_status = NULL;
48         struct sk_buff *pkt_copy = NULL;
49         struct recv_frame       *precvframe = NULL;
50         struct rx_pkt_attrib    *pattrib = NULL;
51         struct hal_data_8188e *haldata = adapt->HalData;
52         struct recv_priv        *precvpriv = &adapt->recvpriv;
53         struct __queue *pfree_recv_queue = &precvpriv->free_recv_queue;
54
55         transfer_len = (s32)pskb->len;
56         pbuf = pskb->data;
57
58         prxstat = (struct recv_stat *)pbuf;
59         pkt_cnt = (le32_to_cpu(prxstat->rxdw2) >> 16) & 0xff;
60
61         do {
62                 RT_TRACE(_module_rtl871x_recv_c_, _drv_info_,
63                          ("recvbuf2recvframe: rxdesc=offsset 0:0x%08x, 4:0x%08x, 8:0x%08x, C:0x%08x\n",
64                           prxstat->rxdw0, prxstat->rxdw1, prxstat->rxdw2, prxstat->rxdw4));
65
66                 prxstat = (struct recv_stat *)pbuf;
67
68                 precvframe = rtw_alloc_recvframe(pfree_recv_queue);
69                 if (precvframe == NULL) {
70                         RT_TRACE(_module_rtl871x_recv_c_, _drv_err_, ("recvbuf2recvframe: precvframe==NULL\n"));
71                         DBG_88E("%s()-%d: rtw_alloc_recvframe() failed! RX Drop!\n", __func__, __LINE__);
72                         goto _exit_recvbuf2recvframe;
73                 }
74
75                 INIT_LIST_HEAD(&precvframe->list);
76                 precvframe->len = 0;
77
78                 update_recvframe_attrib_88e(precvframe, prxstat);
79
80                 pattrib = &precvframe->attrib;
81
82                 if ((pattrib->crc_err) || (pattrib->icv_err)) {
83                         DBG_88E("%s: RX Warning! crc_err=%d icv_err=%d, skip!\n", __func__, pattrib->crc_err, pattrib->icv_err);
84
85                         rtw_free_recvframe(precvframe, pfree_recv_queue);
86                         goto _exit_recvbuf2recvframe;
87                 }
88
89                 if ((pattrib->physt) && (pattrib->pkt_rpt_type == NORMAL_RX))
90                         pphy_status = (struct phy_stat *)(pbuf + RXDESC_OFFSET);
91
92                 pkt_offset = RXDESC_SIZE + pattrib->drvinfo_sz + pattrib->shift_sz + pattrib->pkt_len;
93
94                 if ((pattrib->pkt_len <= 0) || (pkt_offset > transfer_len)) {
95                         RT_TRACE(_module_rtl871x_recv_c_, _drv_info_, ("recvbuf2recvframe: pkt_len<=0\n"));
96                         DBG_88E("%s()-%d: RX Warning!,pkt_len<=0 or pkt_offset> transfoer_len\n", __func__, __LINE__);
97                         rtw_free_recvframe(precvframe, pfree_recv_queue);
98                         goto _exit_recvbuf2recvframe;
99                 }
100
101                 /*      Modified by Albert 20101213 */
102                 /*      For 8 bytes IP header alignment. */
103                 if (pattrib->qos)       /*      Qos data, wireless lan header length is 26 */
104                         shift_sz = 6;
105                 else
106                         shift_sz = 0;
107
108                 skb_len = pattrib->pkt_len;
109
110                 /*  for first fragment packet, driver need allocate 1536+drvinfo_sz+RXDESC_SIZE to defrag packet. */
111                 /*  modify alloc_sz for recvive crc error packet by thomas 2011-06-02 */
112                 if ((pattrib->mfrag == 1) && (pattrib->frag_num == 0)) {
113                         if (skb_len <= 1650)
114                                 alloc_sz = 1664;
115                         else
116                                 alloc_sz = skb_len + 14;
117                 } else {
118                         alloc_sz = skb_len;
119                         /*      6 is for IP header 8 bytes alignment in QoS packet case. */
120                         /*      8 is for skb->data 4 bytes alignment. */
121                         alloc_sz += 14;
122                 }
123
124                 pkt_copy = netdev_alloc_skb(adapt->pnetdev, alloc_sz);
125                 if (pkt_copy) {
126                         pkt_copy->dev = adapt->pnetdev;
127                         precvframe->pkt = pkt_copy;
128                         precvframe->rx_head = pkt_copy->data;
129                         precvframe->rx_end = pkt_copy->data + alloc_sz;
130                         skb_reserve(pkt_copy, 8 - ((size_t)(pkt_copy->data) & 7));/* force pkt_copy->data at 8-byte alignment address */
131                         skb_reserve(pkt_copy, shift_sz);/* force ip_hdr at 8-byte alignment address according to shift_sz. */
132                         memcpy(pkt_copy->data, (pbuf + pattrib->drvinfo_sz + RXDESC_SIZE), skb_len);
133                         precvframe->rx_tail = pkt_copy->data;
134                         precvframe->rx_data = pkt_copy->data;
135                 } else {
136                         if ((pattrib->mfrag == 1) && (pattrib->frag_num == 0)) {
137                                 DBG_88E("recvbuf2recvframe: alloc_skb fail , drop frag frame\n");
138                                 rtw_free_recvframe(precvframe, pfree_recv_queue);
139                                 goto _exit_recvbuf2recvframe;
140                         }
141                         precvframe->pkt = skb_clone(pskb, GFP_ATOMIC);
142                         if (precvframe->pkt) {
143                                 precvframe->rx_tail = pbuf + pattrib->drvinfo_sz + RXDESC_SIZE;
144                                 precvframe->rx_head = precvframe->rx_tail;
145                                 precvframe->rx_data = precvframe->rx_tail;
146                                 precvframe->rx_end =  pbuf + pattrib->drvinfo_sz + RXDESC_SIZE + alloc_sz;
147                         } else {
148                                 DBG_88E("recvbuf2recvframe: skb_clone fail\n");
149                                 rtw_free_recvframe(precvframe, pfree_recv_queue);
150                                 goto _exit_recvbuf2recvframe;
151                         }
152                 }
153
154                 recvframe_put(precvframe, skb_len);
155
156                 switch (haldata->UsbRxAggMode) {
157                 case USB_RX_AGG_DMA:
158                 case USB_RX_AGG_MIX:
159                         pkt_offset = (u16)round_up(pkt_offset, 128);
160                         break;
161                 case USB_RX_AGG_USB:
162                         pkt_offset = (u16)round_up(pkt_offset, 4);
163                         break;
164                 case USB_RX_AGG_DISABLE:
165                 default:
166                         break;
167                 }
168                 if (pattrib->pkt_rpt_type == NORMAL_RX) { /* Normal rx packet */
169                         if (pattrib->physt)
170                                 update_recvframe_phyinfo_88e(precvframe, (struct phy_stat *)pphy_status);
171                         if (rtw_recv_entry(precvframe) != _SUCCESS) {
172                                 RT_TRACE(_module_rtl871x_recv_c_, _drv_err_,
173                                         ("recvbuf2recvframe: rtw_recv_entry(precvframe) != _SUCCESS\n"));
174                         }
175                 } else {
176                         /* enqueue recvframe to txrtp queue */
177                         if (pattrib->pkt_rpt_type == TX_REPORT1) {
178                                 /* CCX-TXRPT ack for xmit mgmt frames. */
179                                 handle_txrpt_ccx_88e(adapt, precvframe->rx_data);
180                         } else if (pattrib->pkt_rpt_type == TX_REPORT2) {
181                                 ODM_RA_TxRPT2Handle_8188E(
182                                                         &haldata->odmpriv,
183                                                         precvframe->rx_data,
184                                                         pattrib->pkt_len,
185                                                         pattrib->MacIDValidEntry[0],
186                                                         pattrib->MacIDValidEntry[1]
187                                                         );
188                         } else if (pattrib->pkt_rpt_type == HIS_REPORT) {
189                                 interrupt_handler_8188eu(adapt, pattrib->pkt_len, precvframe->rx_data);
190                         }
191                         rtw_free_recvframe(precvframe, pfree_recv_queue);
192                 }
193                 pkt_cnt--;
194                 transfer_len -= pkt_offset;
195                 pbuf += pkt_offset;
196                 precvframe = NULL;
197                 pkt_copy = NULL;
198
199                 if (transfer_len > 0 && pkt_cnt == 0)
200                         pkt_cnt = (le32_to_cpu(prxstat->rxdw2)>>16) & 0xff;
201
202         } while ((transfer_len > 0) && (pkt_cnt > 0));
203
204 _exit_recvbuf2recvframe:
205
206         return _SUCCESS;
207 }
208
209 unsigned int ffaddr2pipehdl(struct dvobj_priv *pdvobj, u32 addr)
210 {
211         unsigned int pipe = 0, ep_num = 0;
212         struct usb_device *pusbd = pdvobj->pusbdev;
213
214         if (addr == RECV_BULK_IN_ADDR) {
215                 pipe = usb_rcvbulkpipe(pusbd, pdvobj->RtInPipe[0]);
216         } else if (addr == RECV_INT_IN_ADDR) {
217                 pipe = usb_rcvbulkpipe(pusbd, pdvobj->RtInPipe[1]);
218         } else if (addr < HW_QUEUE_ENTRY) {
219                 ep_num = pdvobj->Queue2Pipe[addr];
220                 pipe = usb_sndbulkpipe(pusbd, ep_num);
221         }
222
223         return pipe;
224 }
225
226 static int usbctrl_vendorreq(struct adapter *adapt, u8 request, u16 value, u16 index, void *pdata, u16 len, u8 requesttype)
227 {
228         struct dvobj_priv  *dvobjpriv = adapter_to_dvobj(adapt);
229         struct usb_device *udev = dvobjpriv->pusbdev;
230         unsigned int pipe;
231         int status = 0;
232         u8 reqtype;
233         u8 *pIo_buf;
234         int vendorreq_times = 0;
235
236         if ((adapt->bSurpriseRemoved) || (adapt->pwrctrlpriv.pnp_bstop_trx)) {
237                 RT_TRACE(_module_hci_ops_os_c_, _drv_err_, ("usbctrl_vendorreq:(adapt->bSurpriseRemoved ||adapter->pwrctrlpriv.pnp_bstop_trx)!!!\n"));
238                 status = -EPERM;
239                 goto exit;
240         }
241
242         if (len > MAX_VENDOR_REQ_CMD_SIZE) {
243                 DBG_88E("[%s] Buffer len error ,vendor request failed\n", __func__);
244                 status = -EINVAL;
245                 goto exit;
246         }
247
248         if (mutex_lock_interruptible(&dvobjpriv->usb_vendor_req_mutex)) {
249                 status = -ERESTARTSYS;
250                 goto exit;
251         }
252
253         /*  Acquire IO memory for vendorreq */
254         pIo_buf = kmalloc(MAX_USB_IO_CTL_SIZE, GFP_ATOMIC);
255
256         if (pIo_buf == NULL) {
257                 DBG_88E("[%s] pIo_buf == NULL\n", __func__);
258                 status = -ENOMEM;
259                 goto release_mutex;
260         }
261
262         while (++vendorreq_times <= MAX_USBCTRL_VENDORREQ_TIMES) {
263                 memset(pIo_buf, 0, len);
264
265                 if (requesttype == 0x01) {
266                         pipe = usb_rcvctrlpipe(udev, 0);/* read_in */
267                         reqtype =  REALTEK_USB_VENQT_READ;
268                 } else {
269                         pipe = usb_sndctrlpipe(udev, 0);/* write_out */
270                         reqtype =  REALTEK_USB_VENQT_WRITE;
271                         memcpy(pIo_buf, pdata, len);
272                 }
273
274                 status = usb_control_msg(udev, pipe, request, reqtype, value, index, pIo_buf, len, RTW_USB_CONTROL_MSG_TIMEOUT);
275
276                 if (status == len) {   /*  Success this control transfer. */
277                         if (requesttype == 0x01)
278                                 memcpy(pdata, pIo_buf,  len);
279                 } else { /*  error cases */
280                         DBG_88E("reg 0x%x, usb %s %u fail, status:%d value=0x%x, vendorreq_times:%d\n",
281                                 value, (requesttype == 0x01) ? "read" : "write",
282                                 len, status, *(u32 *)pdata, vendorreq_times);
283
284                         if (status < 0) {
285                                 if (status == (-ESHUTDOWN) || status == -ENODEV) {
286                                         adapt->bSurpriseRemoved = true;
287                                 } else {
288                                         adapt->HalData->srestpriv.Wifi_Error_Status = USB_VEN_REQ_CMD_FAIL;
289                                 }
290                         } else { /*  status != len && status >= 0 */
291                                 if (status > 0) {
292                                         if (requesttype == 0x01) {
293                                                 /*  For Control read transfer, we have to copy the read data from pIo_buf to pdata. */
294                                                 memcpy(pdata, pIo_buf,  len);
295                                         }
296                                 }
297                         }
298
299                 }
300
301                 /*  firmware download is checksumed, don't retry */
302                 if ((value >= FW_8188E_START_ADDRESS && value <= FW_8188E_END_ADDRESS) || status == len)
303                         break;
304         }
305         kfree(pIo_buf);
306
307 release_mutex:
308         mutex_unlock(&dvobjpriv->usb_vendor_req_mutex);
309 exit:
310         return status;
311 }
312
313 u8 usb_read8(struct adapter *adapter, u32 addr)
314 {
315         u8 request;
316         u8 requesttype;
317         u16 wvalue;
318         u16 index;
319         u16 len;
320         u8 data = 0;
321
322
323         request = 0x05;
324         requesttype = 0x01;/* read_in */
325         index = 0;/* n/a */
326
327         wvalue = (u16)(addr&0x0000ffff);
328         len = 1;
329
330         usbctrl_vendorreq(adapter, request, wvalue, index, &data, len, requesttype);
331
332
333         return data;
334
335 }
336
337 u16 usb_read16(struct adapter *adapter, u32 addr)
338 {
339         u8 request;
340         u8 requesttype;
341         u16 wvalue;
342         u16 index;
343         u16 len;
344         __le32 data;
345
346         request = 0x05;
347         requesttype = 0x01;/* read_in */
348         index = 0;/* n/a */
349         wvalue = (u16)(addr&0x0000ffff);
350         len = 2;
351         usbctrl_vendorreq(adapter, request, wvalue, index, &data, len, requesttype);
352
353         return (u16)(le32_to_cpu(data)&0xffff);
354 }
355
356 u32 usb_read32(struct adapter *adapter, u32 addr)
357 {
358         u8 request;
359         u8 requesttype;
360         u16 wvalue;
361         u16 index;
362         u16 len;
363         __le32 data;
364
365
366         request = 0x05;
367         requesttype = 0x01;/* read_in */
368         index = 0;/* n/a */
369
370         wvalue = (u16)(addr&0x0000ffff);
371         len = 4;
372
373         usbctrl_vendorreq(adapter, request, wvalue, index, &data, len, requesttype);
374
375
376         return le32_to_cpu(data);
377 }
378
379 static void usb_read_port_complete(struct urb *purb, struct pt_regs *regs)
380 {
381         struct recv_buf *precvbuf = (struct recv_buf *)purb->context;
382         struct adapter  *adapt = (struct adapter *)precvbuf->adapter;
383         struct recv_priv *precvpriv = &adapt->recvpriv;
384
385         RT_TRACE(_module_hci_ops_os_c_, _drv_err_, ("usb_read_port_complete!!!\n"));
386
387         precvpriv->rx_pending_cnt--;
388
389         if (adapt->bSurpriseRemoved || adapt->bDriverStopped || adapt->bReadPortCancel) {
390                 RT_TRACE(_module_hci_ops_os_c_, _drv_err_,
391                          ("usb_read_port_complete:bDriverStopped(%d) OR bSurpriseRemoved(%d)\n",
392                          adapt->bDriverStopped, adapt->bSurpriseRemoved));
393
394                 precvbuf->reuse = true;
395                 DBG_88E("%s() RX Warning! bDriverStopped(%d) OR bSurpriseRemoved(%d) bReadPortCancel(%d)\n",
396                         __func__, adapt->bDriverStopped,
397                         adapt->bSurpriseRemoved, adapt->bReadPortCancel);
398                 return;
399         }
400
401         if (purb->status == 0) { /* SUCCESS */
402                 if ((purb->actual_length > MAX_RECVBUF_SZ) || (purb->actual_length < RXDESC_SIZE)) {
403                         RT_TRACE(_module_hci_ops_os_c_, _drv_err_,
404                                  ("usb_read_port_complete: (purb->actual_length > MAX_RECVBUF_SZ) || (purb->actual_length < RXDESC_SIZE)\n"));
405                         precvbuf->reuse = true;
406                         usb_read_port(adapt, precvpriv->ff_hwaddr, 0, (unsigned char *)precvbuf);
407                         DBG_88E("%s()-%d: RX Warning!\n", __func__, __LINE__);
408                 } else {
409                         skb_put(precvbuf->pskb, purb->actual_length);
410                         skb_queue_tail(&precvpriv->rx_skb_queue, precvbuf->pskb);
411
412                         if (skb_queue_len(&precvpriv->rx_skb_queue) <= 1)
413                                 tasklet_schedule(&precvpriv->recv_tasklet);
414
415                         precvbuf->pskb = NULL;
416                         precvbuf->reuse = false;
417                         usb_read_port(adapt, precvpriv->ff_hwaddr, 0, (unsigned char *)precvbuf);
418                 }
419         } else {
420                 RT_TRACE(_module_hci_ops_os_c_, _drv_err_, ("usb_read_port_complete : purb->status(%d) != 0\n", purb->status));
421
422                 DBG_88E("###=> usb_read_port_complete => urb status(%d)\n", purb->status);
423                 skb_put(precvbuf->pskb, purb->actual_length);
424                 precvbuf->pskb = NULL;
425
426                 switch (purb->status) {
427                 case -EINVAL:
428                 case -EPIPE:
429                 case -ENODEV:
430                 case -ESHUTDOWN:
431                         adapt->bSurpriseRemoved = true;
432                 case -ENOENT:
433                         adapt->bDriverStopped = true;
434                         RT_TRACE(_module_hci_ops_os_c_, _drv_err_, ("usb_read_port_complete:bDriverStopped=true\n"));
435                         break;
436                 case -EPROTO:
437                 case -EOVERFLOW:
438                         adapt->HalData->srestpriv.Wifi_Error_Status = USB_READ_PORT_FAIL;
439                         precvbuf->reuse = true;
440                         usb_read_port(adapt, precvpriv->ff_hwaddr, 0, (unsigned char *)precvbuf);
441                         break;
442                 case -EINPROGRESS:
443                         DBG_88E("ERROR: URB IS IN PROGRESS!\n");
444                         break;
445                 default:
446                         break;
447                 }
448         }
449 }
450
451 u32 usb_read_port(struct adapter *adapter, u32 addr, u32 cnt, u8 *rmem)
452 {
453         struct urb *purb = NULL;
454         struct recv_buf *precvbuf = (struct recv_buf *)rmem;
455         struct dvobj_priv       *pdvobj = adapter_to_dvobj(adapter);
456         struct recv_priv        *precvpriv = &adapter->recvpriv;
457         struct usb_device       *pusbd = pdvobj->pusbdev;
458         int err;
459         unsigned int pipe;
460         size_t tmpaddr = 0;
461         size_t alignment = 0;
462         u32 ret = _SUCCESS;
463
464
465         if (adapter->bDriverStopped || adapter->bSurpriseRemoved ||
466             adapter->pwrctrlpriv.pnp_bstop_trx) {
467                 RT_TRACE(_module_hci_ops_os_c_, _drv_err_,
468                          ("usb_read_port:(adapt->bDriverStopped ||adapt->bSurpriseRemoved ||adapter->pwrctrlpriv.pnp_bstop_trx)!!!\n"));
469                 return _FAIL;
470         }
471
472         if (!precvbuf) {
473                 RT_TRACE(_module_hci_ops_os_c_, _drv_err_,
474                          ("usb_read_port:precvbuf==NULL\n"));
475                 return _FAIL;
476         }
477
478         if ((!precvbuf->reuse) || (precvbuf->pskb == NULL)) {
479                 precvbuf->pskb = skb_dequeue(&precvpriv->free_recv_skb_queue);
480                 if (NULL != precvbuf->pskb)
481                         precvbuf->reuse = true;
482         }
483
484         /* re-assign for linux based on skb */
485         if ((!precvbuf->reuse) || (precvbuf->pskb == NULL)) {
486                 precvbuf->pskb = netdev_alloc_skb(adapter->pnetdev, MAX_RECVBUF_SZ + RECVBUFF_ALIGN_SZ);
487                 if (precvbuf->pskb == NULL) {
488                         RT_TRACE(_module_hci_ops_os_c_, _drv_err_, ("init_recvbuf(): alloc_skb fail!\n"));
489                         DBG_88E("#### usb_read_port() alloc_skb fail!#####\n");
490                         return _FAIL;
491                 }
492
493                 tmpaddr = (size_t)precvbuf->pskb->data;
494                 alignment = tmpaddr & (RECVBUFF_ALIGN_SZ-1);
495                 skb_reserve(precvbuf->pskb, (RECVBUFF_ALIGN_SZ - alignment));
496         } else { /* reuse skb */
497                 precvbuf->reuse = false;
498         }
499
500         precvpriv->rx_pending_cnt++;
501
502         purb = precvbuf->purb;
503
504         /* translate DMA FIFO addr to pipehandle */
505         pipe = ffaddr2pipehdl(pdvobj, addr);
506
507         usb_fill_bulk_urb(purb, pusbd, pipe,
508                           precvbuf->pskb->data,
509                           MAX_RECVBUF_SZ,
510                           usb_read_port_complete,
511                           precvbuf);/* context is precvbuf */
512
513         err = usb_submit_urb(purb, GFP_ATOMIC);
514         if ((err) && (err != (-EPERM))) {
515                 RT_TRACE(_module_hci_ops_os_c_, _drv_err_,
516                          ("cannot submit rx in-token(err=0x%.8x), URB_STATUS =0x%.8x",
517                          err, purb->status));
518                 DBG_88E("cannot submit rx in-token(err = 0x%08x),urb_status = %d\n",
519                         err, purb->status);
520                 ret = _FAIL;
521         }
522
523         return ret;
524 }
525
526 void rtw_hal_inirp_deinit(struct adapter *padapter)
527 {
528         int i;
529         struct recv_buf *precvbuf;
530
531         precvbuf = (struct recv_buf *)padapter->recvpriv.precv_buf;
532
533         DBG_88E("%s\n", __func__);
534
535         padapter->bReadPortCancel = true;
536
537         for (i = 0; i < NR_RECVBUFF; i++) {
538                 precvbuf->reuse = true;
539                 if (precvbuf->purb)
540                         usb_kill_urb(precvbuf->purb);
541                 precvbuf++;
542         }
543 }
544
545 int usb_write8(struct adapter *adapter, u32 addr, u8 val)
546 {
547         u8 request;
548         u8 requesttype;
549         u16 wvalue;
550         u16 index;
551         u16 len;
552         u8 data;
553
554         request = 0x05;
555         requesttype = 0x00;/* write_out */
556         index = 0;/* n/a */
557         wvalue = (u16)(addr&0x0000ffff);
558         len = 1;
559         data = val;
560         return usbctrl_vendorreq(adapter, request, wvalue,
561                                  index, &data, len, requesttype);
562 }
563
564 int usb_write16(struct adapter *adapter, u32 addr, u16 val)
565 {
566         u8 request;
567         u8 requesttype;
568         u16 wvalue;
569         u16 index;
570         u16 len;
571         __le32 data;
572
573
574         request = 0x05;
575         requesttype = 0x00;/* write_out */
576         index = 0;/* n/a */
577
578         wvalue = (u16)(addr&0x0000ffff);
579         len = 2;
580
581         data = cpu_to_le32(val & 0x0000ffff);
582
583         return usbctrl_vendorreq(adapter, request, wvalue,
584                                  index, &data, len, requesttype);
585
586
587 }
588
589 int usb_write32(struct adapter *adapter, u32 addr, u32 val)
590 {
591         u8 request;
592         u8 requesttype;
593         u16 wvalue;
594         u16 index;
595         u16 len;
596         __le32 data;
597
598
599         request = 0x05;
600         requesttype = 0x00;/* write_out */
601         index = 0;/* n/a */
602
603         wvalue = (u16)(addr&0x0000ffff);
604         len = 4;
605         data = cpu_to_le32(val);
606
607         return usbctrl_vendorreq(adapter, request, wvalue,
608                                  index, &data, len, requesttype);
609
610
611 }
612
613 static void usb_write_port_complete(struct urb *purb, struct pt_regs *regs)
614 {
615         struct xmit_buf *pxmitbuf = (struct xmit_buf *)purb->context;
616         struct adapter  *padapter = pxmitbuf->padapter;
617         struct xmit_priv        *pxmitpriv = &padapter->xmitpriv;
618
619         switch (pxmitbuf->flags) {
620         case VO_QUEUE_INX:
621                 pxmitpriv->voq_cnt--;
622                 break;
623         case VI_QUEUE_INX:
624                 pxmitpriv->viq_cnt--;
625                 break;
626         case BE_QUEUE_INX:
627                 pxmitpriv->beq_cnt--;
628                 break;
629         case BK_QUEUE_INX:
630                 pxmitpriv->bkq_cnt--;
631                 break;
632         case HIGH_QUEUE_INX:
633 #ifdef CONFIG_88EU_AP_MODE
634                 rtw_chk_hi_queue_cmd(padapter);
635 #endif
636                 break;
637         default:
638                 break;
639         }
640
641         if (padapter->bSurpriseRemoved || padapter->bDriverStopped ||
642             padapter->bWritePortCancel) {
643                 RT_TRACE(_module_hci_ops_os_c_, _drv_err_,
644                          ("usb_write_port_complete:bDriverStopped(%d) OR bSurpriseRemoved(%d)",
645                          padapter->bDriverStopped, padapter->bSurpriseRemoved));
646                 DBG_88E("%s(): TX Warning! bDriverStopped(%d) OR bSurpriseRemoved(%d) bWritePortCancel(%d) pxmitbuf->ext_tag(%x)\n",
647                         __func__, padapter->bDriverStopped,
648                         padapter->bSurpriseRemoved, padapter->bReadPortCancel,
649                         pxmitbuf->ext_tag);
650
651                 goto check_completion;
652         }
653
654         if (purb->status) {
655                 RT_TRACE(_module_hci_ops_os_c_, _drv_err_, ("usb_write_port_complete : purb->status(%d) != 0\n", purb->status));
656                 DBG_88E("###=> urb_write_port_complete status(%d)\n", purb->status);
657                 if ((purb->status == -EPIPE) || (purb->status == -EPROTO)) {
658                         sreset_set_wifi_error_status(padapter, USB_WRITE_PORT_FAIL);
659                 } else if (purb->status == -EINPROGRESS) {
660                         RT_TRACE(_module_hci_ops_os_c_, _drv_err_, ("usb_write_port_complete: EINPROGESS\n"));
661                         goto check_completion;
662                 } else if (purb->status == -ENOENT) {
663                         DBG_88E("%s: -ENOENT\n", __func__);
664                         goto check_completion;
665                 } else if (purb->status == -ECONNRESET) {
666                         DBG_88E("%s: -ECONNRESET\n", __func__);
667                         goto check_completion;
668                 } else if (purb->status == -ESHUTDOWN) {
669                         RT_TRACE(_module_hci_ops_os_c_, _drv_err_, ("usb_write_port_complete: ESHUTDOWN\n"));
670                         padapter->bDriverStopped = true;
671                         RT_TRACE(_module_hci_ops_os_c_, _drv_err_, ("usb_write_port_complete:bDriverStopped = true\n"));
672                         goto check_completion;
673                 } else {
674                         padapter->bSurpriseRemoved = true;
675                         DBG_88E("bSurpriseRemoved = true\n");
676                         RT_TRACE(_module_hci_ops_os_c_, _drv_err_, ("usb_write_port_complete:bSurpriseRemoved = true\n"));
677
678                         goto check_completion;
679                 }
680         }
681
682 check_completion:
683         rtw_sctx_done_err(&pxmitbuf->sctx,
684                           purb->status ? RTW_SCTX_DONE_WRITE_PORT_ERR :
685                           RTW_SCTX_DONE_SUCCESS);
686
687         rtw_free_xmitbuf(pxmitpriv, pxmitbuf);
688
689         tasklet_hi_schedule(&pxmitpriv->xmit_tasklet);
690 }
691
692 u32 usb_write_port(struct adapter *padapter, u32 addr, u32 cnt, struct xmit_buf *xmitbuf)
693 {
694         unsigned long irqL;
695         unsigned int pipe;
696         int status;
697         u32 ret = _FAIL;
698         struct urb *purb = NULL;
699         struct dvobj_priv       *pdvobj = adapter_to_dvobj(padapter);
700         struct xmit_priv        *pxmitpriv = &padapter->xmitpriv;
701         struct xmit_frame *pxmitframe = (struct xmit_frame *)xmitbuf->priv_data;
702         struct usb_device *pusbd = pdvobj->pusbdev;
703
704
705         RT_TRACE(_module_hci_ops_os_c_, _drv_err_, ("+usb_write_port\n"));
706
707         if ((padapter->bDriverStopped) || (padapter->bSurpriseRemoved) ||
708             (padapter->pwrctrlpriv.pnp_bstop_trx)) {
709                 RT_TRACE(_module_hci_ops_os_c_, _drv_err_,
710                          ("usb_write_port:( padapter->bDriverStopped ||padapter->bSurpriseRemoved ||adapter->pwrctrlpriv.pnp_bstop_trx)!!!\n"));
711                 rtw_sctx_done_err(&xmitbuf->sctx, RTW_SCTX_DONE_TX_DENY);
712                 goto exit;
713         }
714
715         spin_lock_irqsave(&pxmitpriv->lock, irqL);
716
717         switch (addr) {
718         case VO_QUEUE_INX:
719                 pxmitpriv->voq_cnt++;
720                 xmitbuf->flags = VO_QUEUE_INX;
721                 break;
722         case VI_QUEUE_INX:
723                 pxmitpriv->viq_cnt++;
724                 xmitbuf->flags = VI_QUEUE_INX;
725                 break;
726         case BE_QUEUE_INX:
727                 pxmitpriv->beq_cnt++;
728                 xmitbuf->flags = BE_QUEUE_INX;
729                 break;
730         case BK_QUEUE_INX:
731                 pxmitpriv->bkq_cnt++;
732                 xmitbuf->flags = BK_QUEUE_INX;
733                 break;
734         case HIGH_QUEUE_INX:
735                 xmitbuf->flags = HIGH_QUEUE_INX;
736                 break;
737         default:
738                 xmitbuf->flags = MGT_QUEUE_INX;
739                 break;
740         }
741
742         spin_unlock_irqrestore(&pxmitpriv->lock, irqL);
743
744         purb    = xmitbuf->pxmit_urb[0];
745
746         /* translate DMA FIFO addr to pipehandle */
747         pipe = ffaddr2pipehdl(pdvobj, addr);
748
749         usb_fill_bulk_urb(purb, pusbd, pipe,
750                           pxmitframe->buf_addr, /*  xmitbuf->pbuf */
751                           cnt,
752                           usb_write_port_complete,
753                           xmitbuf);/* context is xmitbuf */
754
755         status = usb_submit_urb(purb, GFP_ATOMIC);
756         if (status) {
757                 rtw_sctx_done_err(&xmitbuf->sctx, RTW_SCTX_DONE_WRITE_PORT_ERR);
758                 DBG_88E("usb_write_port, status =%d\n", status);
759                 RT_TRACE(_module_hci_ops_os_c_, _drv_err_, ("usb_write_port(): usb_submit_urb, status =%x\n", status));
760
761                 switch (status) {
762                 case -ENODEV:
763                         padapter->bDriverStopped = true;
764                         break;
765                 default:
766                         break;
767                 }
768                 goto exit;
769         }
770
771         ret = _SUCCESS;
772
773 /*    We add the URB_ZERO_PACKET flag to urb so that the host will send the zero packet automatically. */
774
775         RT_TRACE(_module_hci_ops_os_c_, _drv_err_, ("-usb_write_port\n"));
776
777 exit:
778         if (ret != _SUCCESS)
779                 rtw_free_xmitbuf(pxmitpriv, xmitbuf);
780         return ret;
781 }
782
783 void usb_write_port_cancel(struct adapter *padapter)
784 {
785         int i, j;
786         struct xmit_buf *pxmitbuf = (struct xmit_buf *)padapter->xmitpriv.pxmitbuf;
787
788         DBG_88E("%s\n", __func__);
789
790         padapter->bWritePortCancel = true;
791
792         for (i = 0; i < NR_XMITBUFF; i++) {
793                 for (j = 0; j < 8; j++) {
794                         if (pxmitbuf->pxmit_urb[j])
795                                 usb_kill_urb(pxmitbuf->pxmit_urb[j]);
796                 }
797                 pxmitbuf++;
798         }
799
800         pxmitbuf = (struct xmit_buf *)padapter->xmitpriv.pxmit_extbuf;
801         for (i = 0; i < NR_XMIT_EXTBUFF; i++) {
802                 for (j = 0; j < 8; j++) {
803                         if (pxmitbuf->pxmit_urb[j])
804                                 usb_kill_urb(pxmitbuf->pxmit_urb[j]);
805                 }
806                 pxmitbuf++;
807         }
808 }
809
810 void rtl8188eu_recv_tasklet(void *priv)
811 {
812         struct sk_buff *pskb;
813         struct adapter *adapt = priv;
814         struct recv_priv *precvpriv = &adapt->recvpriv;
815
816         while (NULL != (pskb = skb_dequeue(&precvpriv->rx_skb_queue))) {
817                 if ((adapt->bDriverStopped) || (adapt->bSurpriseRemoved)) {
818                         DBG_88E("recv_tasklet => bDriverStopped or bSurpriseRemoved\n");
819                         dev_kfree_skb_any(pskb);
820                         break;
821                 }
822                 recvbuf2recvframe(adapt, pskb);
823                 skb_reset_tail_pointer(pskb);
824                 pskb->len = 0;
825                 skb_queue_tail(&precvpriv->free_recv_skb_queue, pskb);
826         }
827 }
828
829 void rtl8188eu_xmit_tasklet(void *priv)
830 {
831         int ret = false;
832         struct adapter *adapt = priv;
833         struct xmit_priv *pxmitpriv = &adapt->xmitpriv;
834
835         if (check_fwstate(&adapt->mlmepriv, _FW_UNDER_SURVEY))
836                 return;
837
838         while (1) {
839                 if ((adapt->bDriverStopped) ||
840                     (adapt->bSurpriseRemoved) ||
841                     (adapt->bWritePortCancel)) {
842                         DBG_88E("xmit_tasklet => bDriverStopped or bSurpriseRemoved or bWritePortCancel\n");
843                         break;
844                 }
845
846                 ret = rtl8188eu_xmitframe_complete(adapt, pxmitpriv);
847
848                 if (!ret)
849                         break;
850         }
851 }