GNU Linux-libre 4.9.337-gnu1
[releases.git] / sound / pci / ac97 / ac97_codec.c
1 /*
2  *  Copyright (c) by Jaroslav Kysela <perex@perex.cz>
3  *  Universal interface for Audio Codec '97
4  *
5  *  For more details look to AC '97 component specification revision 2.2
6  *  by Intel Corporation (http://developer.intel.com).
7  *
8  *
9  *   This program is free software; you can redistribute it and/or modify
10  *   it under the terms of the GNU General Public License as published by
11  *   the Free Software Foundation; either version 2 of the License, or
12  *   (at your option) any later version.
13  *
14  *   This program is distributed in the hope that it will be useful,
15  *   but WITHOUT ANY WARRANTY; without even the implied warranty of
16  *   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
17  *   GNU General Public License for more details.
18  *
19  *   You should have received a copy of the GNU General Public License
20  *   along with this program; if not, write to the Free Software
21  *   Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA  02111-1307 USA
22  *
23  */
24
25 #include <linux/delay.h>
26 #include <linux/init.h>
27 #include <linux/slab.h>
28 #include <linux/pci.h>
29 #include <linux/module.h>
30 #include <linux/mutex.h>
31 #include <sound/core.h>
32 #include <sound/pcm.h>
33 #include <sound/tlv.h>
34 #include <sound/ac97_codec.h>
35 #include <sound/asoundef.h>
36 #include <sound/initval.h>
37 #include "ac97_id.h"
38
39 #include "ac97_patch.c"
40
41 MODULE_AUTHOR("Jaroslav Kysela <perex@perex.cz>");
42 MODULE_DESCRIPTION("Universal interface for Audio Codec '97");
43 MODULE_LICENSE("GPL");
44
45 static bool enable_loopback;
46
47 module_param(enable_loopback, bool, 0444);
48 MODULE_PARM_DESC(enable_loopback, "Enable AC97 ADC/DAC Loopback Control");
49
50 #ifdef CONFIG_SND_AC97_POWER_SAVE
51 static int power_save = CONFIG_SND_AC97_POWER_SAVE_DEFAULT;
52 module_param(power_save, int, 0644);
53 MODULE_PARM_DESC(power_save, "Automatic power-saving timeout "
54                  "(in second, 0 = disable).");
55 #endif
56 /*
57
58  */
59
60 struct ac97_codec_id {
61         unsigned int id;
62         unsigned int mask;
63         const char *name;
64         int (*patch)(struct snd_ac97 *ac97);
65         int (*mpatch)(struct snd_ac97 *ac97);
66         unsigned int flags;
67 };
68
69 static const struct ac97_codec_id snd_ac97_codec_id_vendors[] = {
70 { 0x41445300, 0xffffff00, "Analog Devices",     NULL,   NULL },
71 { 0x414b4d00, 0xffffff00, "Asahi Kasei",        NULL,   NULL },
72 { 0x414c4300, 0xffffff00, "Realtek",            NULL,   NULL },
73 { 0x414c4700, 0xffffff00, "Realtek",            NULL,   NULL },
74 /*
75  * This is an _inofficial_ Aztech Labs entry
76  * (value might differ from unknown official Aztech ID),
77  * currently used by the AC97 emulation of the almost-AC97 PCI168 card.
78  */
79 { 0x415a5400, 0xffffff00, "Aztech Labs (emulated)",     NULL,   NULL },
80 { 0x434d4900, 0xffffff00, "C-Media Electronics", NULL,  NULL },
81 { 0x43525900, 0xffffff00, "Cirrus Logic",       NULL,   NULL },
82 { 0x43585400, 0xffffff00, "Conexant",           NULL,   NULL },
83 { 0x44543000, 0xffffff00, "Diamond Technology", NULL,   NULL },
84 { 0x454d4300, 0xffffff00, "eMicro",             NULL,   NULL },
85 { 0x45838300, 0xffffff00, "ESS Technology",     NULL,   NULL },
86 { 0x48525300, 0xffffff00, "Intersil",           NULL,   NULL },
87 { 0x49434500, 0xffffff00, "ICEnsemble",         NULL,   NULL },
88 { 0x49544500, 0xffffff00, "ITE Tech.Inc",       NULL,   NULL },
89 { 0x4e534300, 0xffffff00, "National Semiconductor", NULL, NULL },
90 { 0x50534300, 0xffffff00, "Philips",            NULL,   NULL },
91 { 0x53494c00, 0xffffff00, "Silicon Laboratory", NULL,   NULL },
92 { 0x53544d00, 0xffffff00, "STMicroelectronics", NULL,   NULL },
93 { 0x54524100, 0xffffff00, "TriTech",            NULL,   NULL },
94 { 0x54584e00, 0xffffff00, "Texas Instruments",  NULL,   NULL },
95 { 0x56494100, 0xffffff00, "VIA Technologies",   NULL,   NULL },
96 { 0x57454300, 0xffffff00, "Winbond",            NULL,   NULL },
97 { 0x574d4c00, 0xffffff00, "Wolfson",            NULL,   NULL },
98 { 0x594d4800, 0xffffff00, "Yamaha",             NULL,   NULL },
99 { 0x83847600, 0xffffff00, "SigmaTel",           NULL,   NULL },
100 { 0,          0,          NULL,                 NULL,   NULL }
101 };
102
103 static const struct ac97_codec_id snd_ac97_codec_ids[] = {
104 { 0x41445303, 0xffffffff, "AD1819",             patch_ad1819,   NULL },
105 { 0x41445340, 0xffffffff, "AD1881",             patch_ad1881,   NULL },
106 { 0x41445348, 0xffffffff, "AD1881A",            patch_ad1881,   NULL },
107 { 0x41445360, 0xffffffff, "AD1885",             patch_ad1885,   NULL },
108 { 0x41445361, 0xffffffff, "AD1886",             patch_ad1886,   NULL },
109 { 0x41445362, 0xffffffff, "AD1887",             patch_ad1881,   NULL },
110 { 0x41445363, 0xffffffff, "AD1886A",            patch_ad1881,   NULL },
111 { 0x41445368, 0xffffffff, "AD1888",             patch_ad1888,   NULL },
112 { 0x41445370, 0xffffffff, "AD1980",             patch_ad1980,   NULL },
113 { 0x41445372, 0xffffffff, "AD1981A",            patch_ad1981a,  NULL },
114 { 0x41445374, 0xffffffff, "AD1981B",            patch_ad1981b,  NULL },
115 { 0x41445375, 0xffffffff, "AD1985",             patch_ad1985,   NULL },
116 { 0x41445378, 0xffffffff, "AD1986",             patch_ad1986,   NULL },
117 { 0x414b4d00, 0xffffffff, "AK4540",             NULL,           NULL },
118 { 0x414b4d01, 0xffffffff, "AK4542",             NULL,           NULL },
119 { 0x414b4d02, 0xffffffff, "AK4543",             NULL,           NULL },
120 { 0x414b4d06, 0xffffffff, "AK4544A",            NULL,           NULL },
121 { 0x414b4d07, 0xffffffff, "AK4545",             NULL,           NULL },
122 { 0x414c4300, 0xffffff00, "ALC100,100P",        NULL,           NULL },
123 { 0x414c4710, 0xfffffff0, "ALC200,200P",        NULL,           NULL },
124 { 0x414c4721, 0xffffffff, "ALC650D",            NULL,   NULL }, /* already patched */
125 { 0x414c4722, 0xffffffff, "ALC650E",            NULL,   NULL }, /* already patched */
126 { 0x414c4723, 0xffffffff, "ALC650F",            NULL,   NULL }, /* already patched */
127 { 0x414c4720, 0xfffffff0, "ALC650",             patch_alc650,   NULL },
128 { 0x414c4730, 0xffffffff, "ALC101",             NULL,           NULL },
129 { 0x414c4740, 0xfffffff0, "ALC202",             NULL,           NULL },
130 { 0x414c4750, 0xfffffff0, "ALC250",             NULL,           NULL },
131 { 0x414c4760, 0xfffffff0, "ALC655",             patch_alc655,   NULL },
132 { 0x414c4770, 0xfffffff0, "ALC203",             patch_alc203,   NULL },
133 { 0x414c4781, 0xffffffff, "ALC658D",            NULL,   NULL }, /* already patched */
134 { 0x414c4780, 0xfffffff0, "ALC658",             patch_alc655,   NULL },
135 { 0x414c4790, 0xfffffff0, "ALC850",             patch_alc850,   NULL },
136 { 0x415a5401, 0xffffffff, "AZF3328",            patch_aztech_azf3328,   NULL },
137 { 0x434d4941, 0xffffffff, "CMI9738",            patch_cm9738,   NULL },
138 { 0x434d4961, 0xffffffff, "CMI9739",            patch_cm9739,   NULL },
139 { 0x434d4969, 0xffffffff, "CMI9780",            patch_cm9780,   NULL },
140 { 0x434d4978, 0xffffffff, "CMI9761A",           patch_cm9761,   NULL },
141 { 0x434d4982, 0xffffffff, "CMI9761B",           patch_cm9761,   NULL },
142 { 0x434d4983, 0xffffffff, "CMI9761A+",          patch_cm9761,   NULL },
143 { 0x43525900, 0xfffffff8, "CS4297",             NULL,           NULL },
144 { 0x43525910, 0xfffffff8, "CS4297A",            patch_cirrus_spdif,     NULL },
145 { 0x43525920, 0xfffffff8, "CS4298",             patch_cirrus_spdif,             NULL },
146 { 0x43525928, 0xfffffff8, "CS4294",             NULL,           NULL },
147 { 0x43525930, 0xfffffff8, "CS4299",             patch_cirrus_cs4299,    NULL },
148 { 0x43525948, 0xfffffff8, "CS4201",             NULL,           NULL },
149 { 0x43525958, 0xfffffff8, "CS4205",             patch_cirrus_spdif,     NULL },
150 { 0x43525960, 0xfffffff8, "CS4291",             NULL,           NULL },
151 { 0x43525970, 0xfffffff8, "CS4202",             NULL,           NULL },
152 { 0x43585421, 0xffffffff, "HSD11246",           NULL,           NULL }, // SmartMC II
153 { 0x43585428, 0xfffffff8, "Cx20468",            patch_conexant, NULL }, // SmartAMC fixme: the mask might be different
154 { 0x43585430, 0xffffffff, "Cx20468-31",         patch_conexant, NULL },
155 { 0x43585431, 0xffffffff, "Cx20551",           patch_cx20551,  NULL },
156 { 0x44543031, 0xfffffff0, "DT0398",             NULL,           NULL },
157 { 0x454d4328, 0xffffffff, "EM28028",            NULL,           NULL },  // same as TR28028?
158 { 0x45838308, 0xffffffff, "ESS1988",            NULL,           NULL },
159 { 0x48525300, 0xffffff00, "HMP9701",            NULL,           NULL },
160 { 0x49434501, 0xffffffff, "ICE1230",            NULL,           NULL },
161 { 0x49434511, 0xffffffff, "ICE1232",            NULL,           NULL }, // alias VIA VT1611A?
162 { 0x49434514, 0xffffffff, "ICE1232A",           NULL,           NULL },
163 { 0x49434551, 0xffffffff, "VT1616",             patch_vt1616,   NULL }, 
164 { 0x49434552, 0xffffffff, "VT1616i",            patch_vt1616,   NULL }, // VT1616 compatible (chipset integrated)
165 { 0x49544520, 0xffffffff, "IT2226E",            NULL,           NULL },
166 { 0x49544561, 0xffffffff, "IT2646E",            patch_it2646,   NULL },
167 { 0x4e534300, 0xffffffff, "LM4540,43,45,46,48", NULL,           NULL }, // only guess --jk
168 { 0x4e534331, 0xffffffff, "LM4549",             NULL,           NULL },
169 { 0x4e534350, 0xffffffff, "LM4550",             patch_lm4550,   NULL }, // volume wrap fix 
170 { 0x50534304, 0xffffffff, "UCB1400",            patch_ucb1400,  NULL },
171 { 0x53494c20, 0xffffffe0, "Si3036,8",           mpatch_si3036,  mpatch_si3036, AC97_MODEM_PATCH },
172 { 0x53544d02, 0xffffffff, "ST7597",             NULL,           NULL },
173 { 0x54524102, 0xffffffff, "TR28022",            NULL,           NULL },
174 { 0x54524103, 0xffffffff, "TR28023",            NULL,           NULL },
175 { 0x54524106, 0xffffffff, "TR28026",            NULL,           NULL },
176 { 0x54524108, 0xffffffff, "TR28028",            patch_tritech_tr28028,  NULL }, // added by xin jin [07/09/99]
177 { 0x54524123, 0xffffffff, "TR28602",            NULL,           NULL }, // only guess --jk [TR28023 = eMicro EM28023 (new CT1297)]
178 { 0x54584e03, 0xffffffff, "TLV320AIC27",        NULL,           NULL },
179 { 0x54584e20, 0xffffffff, "TLC320AD9xC",        NULL,           NULL },
180 { 0x56494120, 0xfffffff0, "VIA1613",            patch_vt1613,   NULL },
181 { 0x56494161, 0xffffffff, "VIA1612A",           NULL,           NULL }, // modified ICE1232 with S/PDIF
182 { 0x56494170, 0xffffffff, "VIA1617A",           patch_vt1617a,  NULL }, // modified VT1616 with S/PDIF
183 { 0x56494182, 0xffffffff, "VIA1618",            patch_vt1618,   NULL },
184 { 0x57454301, 0xffffffff, "W83971D",            NULL,           NULL },
185 { 0x574d4c00, 0xffffffff, "WM9701,WM9701A",     NULL,           NULL },
186 { 0x574d4C03, 0xffffffff, "WM9703,WM9707,WM9708,WM9717", patch_wolfson03, NULL},
187 { 0x574d4C04, 0xffffffff, "WM9704M,WM9704Q",    patch_wolfson04, NULL},
188 { 0x574d4C05, 0xffffffff, "WM9705,WM9710",      patch_wolfson05, NULL},
189 { 0x574d4C09, 0xffffffff, "WM9709",             NULL,           NULL},
190 { 0x574d4C12, 0xffffffff, "WM9711,WM9712,WM9715",       patch_wolfson11, NULL},
191 { 0x574d4c13, 0xffffffff, "WM9713,WM9714",      patch_wolfson13, NULL, AC97_DEFAULT_POWER_OFF},
192 { 0x594d4800, 0xffffffff, "YMF743",             patch_yamaha_ymf743,    NULL },
193 { 0x594d4802, 0xffffffff, "YMF752",             NULL,           NULL },
194 { 0x594d4803, 0xffffffff, "YMF753",             patch_yamaha_ymf753,    NULL },
195 { 0x83847600, 0xffffffff, "STAC9700,83,84",     patch_sigmatel_stac9700,        NULL },
196 { 0x83847604, 0xffffffff, "STAC9701,3,4,5",     NULL,           NULL },
197 { 0x83847605, 0xffffffff, "STAC9704",           NULL,           NULL },
198 { 0x83847608, 0xffffffff, "STAC9708,11",        patch_sigmatel_stac9708,        NULL },
199 { 0x83847609, 0xffffffff, "STAC9721,23",        patch_sigmatel_stac9721,        NULL },
200 { 0x83847644, 0xffffffff, "STAC9744",           patch_sigmatel_stac9744,        NULL },
201 { 0x83847650, 0xffffffff, "STAC9750,51",        NULL,           NULL }, // patch?
202 { 0x83847652, 0xffffffff, "STAC9752,53",        NULL,           NULL }, // patch?
203 { 0x83847656, 0xffffffff, "STAC9756,57",        patch_sigmatel_stac9756,        NULL },
204 { 0x83847658, 0xffffffff, "STAC9758,59",        patch_sigmatel_stac9758,        NULL },
205 { 0x83847666, 0xffffffff, "STAC9766,67",        NULL,           NULL }, // patch?
206 { 0,          0,          NULL,                 NULL,           NULL }
207 };
208
209
210 static void update_power_regs(struct snd_ac97 *ac97);
211 #ifdef CONFIG_SND_AC97_POWER_SAVE
212 #define ac97_is_power_save_mode(ac97) \
213         ((ac97->scaps & AC97_SCAP_POWER_SAVE) && power_save)
214 #else
215 #define ac97_is_power_save_mode(ac97) 0
216 #endif
217
218 #define ac97_err(ac97, fmt, args...)    \
219         dev_err((ac97)->bus->card->dev, fmt, ##args)
220 #define ac97_warn(ac97, fmt, args...)   \
221         dev_warn((ac97)->bus->card->dev, fmt, ##args)
222 #define ac97_dbg(ac97, fmt, args...)    \
223         dev_dbg((ac97)->bus->card->dev, fmt, ##args)
224
225 /*
226  *  I/O routines
227  */
228
229 static int snd_ac97_valid_reg(struct snd_ac97 *ac97, unsigned short reg)
230 {
231         /* filter some registers for buggy codecs */
232         switch (ac97->id) {
233         case AC97_ID_ST_AC97_ID4:
234                 if (reg == 0x08)
235                         return 0;
236                 /* fall through */
237         case AC97_ID_ST7597:
238                 if (reg == 0x22 || reg == 0x7a)
239                         return 1;
240                 /* fall through */
241         case AC97_ID_AK4540:
242         case AC97_ID_AK4542:
243                 if (reg <= 0x1c || reg == 0x20 || reg == 0x26 || reg >= 0x7c)
244                         return 1;
245                 return 0;
246         case AC97_ID_AD1819:    /* AD1819 */
247         case AC97_ID_AD1881:    /* AD1881 */
248         case AC97_ID_AD1881A:   /* AD1881A */
249                 if (reg >= 0x3a && reg <= 0x6e) /* 0x59 */
250                         return 0;
251                 return 1;
252         case AC97_ID_AD1885:    /* AD1885 */
253         case AC97_ID_AD1886:    /* AD1886 */
254         case AC97_ID_AD1886A:   /* AD1886A - !!verify!! --jk */
255         case AC97_ID_AD1887:    /* AD1887 - !!verify!! --jk */
256                 if (reg == 0x5a)
257                         return 1;
258                 if (reg >= 0x3c && reg <= 0x6e) /* 0x59 */
259                         return 0;
260                 return 1;
261         case AC97_ID_STAC9700:
262         case AC97_ID_STAC9704:
263         case AC97_ID_STAC9705:
264         case AC97_ID_STAC9708:
265         case AC97_ID_STAC9721:
266         case AC97_ID_STAC9744:
267         case AC97_ID_STAC9756:
268                 if (reg <= 0x3a || reg >= 0x5a)
269                         return 1;
270                 return 0;
271         }
272         return 1;
273 }
274
275 /**
276  * snd_ac97_write - write a value on the given register
277  * @ac97: the ac97 instance
278  * @reg: the register to change
279  * @value: the value to set
280  *
281  * Writes a value on the given register.  This will invoke the write
282  * callback directly after the register check.
283  * This function doesn't change the register cache unlike
284  * #snd_ca97_write_cache(), so use this only when you don't want to
285  * reflect the change to the suspend/resume state.
286  */
287 void snd_ac97_write(struct snd_ac97 *ac97, unsigned short reg, unsigned short value)
288 {
289         if (!snd_ac97_valid_reg(ac97, reg))
290                 return;
291         if ((ac97->id & 0xffffff00) == AC97_ID_ALC100) {
292                 /* Fix H/W bug of ALC100/100P */
293                 if (reg == AC97_MASTER || reg == AC97_HEADPHONE)
294                         ac97->bus->ops->write(ac97, AC97_RESET, 0);     /* reset audio codec */
295         }
296         ac97->bus->ops->write(ac97, reg, value);
297 }
298
299 EXPORT_SYMBOL(snd_ac97_write);
300
301 /**
302  * snd_ac97_read - read a value from the given register
303  * 
304  * @ac97: the ac97 instance
305  * @reg: the register to read
306  *
307  * Reads a value from the given register.  This will invoke the read
308  * callback directly after the register check.
309  *
310  * Return: The read value.
311  */
312 unsigned short snd_ac97_read(struct snd_ac97 *ac97, unsigned short reg)
313 {
314         if (!snd_ac97_valid_reg(ac97, reg))
315                 return 0;
316         return ac97->bus->ops->read(ac97, reg);
317 }
318
319 /* read a register - return the cached value if already read */
320 static inline unsigned short snd_ac97_read_cache(struct snd_ac97 *ac97, unsigned short reg)
321 {
322         if (! test_bit(reg, ac97->reg_accessed)) {
323                 ac97->regs[reg] = ac97->bus->ops->read(ac97, reg);
324                 // set_bit(reg, ac97->reg_accessed);
325         }
326         return ac97->regs[reg];
327 }
328
329 EXPORT_SYMBOL(snd_ac97_read);
330
331 /**
332  * snd_ac97_write_cache - write a value on the given register and update the cache
333  * @ac97: the ac97 instance
334  * @reg: the register to change
335  * @value: the value to set
336  *
337  * Writes a value on the given register and updates the register
338  * cache.  The cached values are used for the cached-read and the
339  * suspend/resume.
340  */
341 void snd_ac97_write_cache(struct snd_ac97 *ac97, unsigned short reg, unsigned short value)
342 {
343         if (!snd_ac97_valid_reg(ac97, reg))
344                 return;
345         mutex_lock(&ac97->reg_mutex);
346         ac97->regs[reg] = value;
347         ac97->bus->ops->write(ac97, reg, value);
348         set_bit(reg, ac97->reg_accessed);
349         mutex_unlock(&ac97->reg_mutex);
350 }
351
352 EXPORT_SYMBOL(snd_ac97_write_cache);
353
354 /**
355  * snd_ac97_update - update the value on the given register
356  * @ac97: the ac97 instance
357  * @reg: the register to change
358  * @value: the value to set
359  *
360  * Compares the value with the register cache and updates the value
361  * only when the value is changed.
362  *
363  * Return: 1 if the value is changed, 0 if no change, or a negative
364  * code on failure.
365  */
366 int snd_ac97_update(struct snd_ac97 *ac97, unsigned short reg, unsigned short value)
367 {
368         int change;
369
370         if (!snd_ac97_valid_reg(ac97, reg))
371                 return -EINVAL;
372         mutex_lock(&ac97->reg_mutex);
373         change = ac97->regs[reg] != value;
374         if (change) {
375                 ac97->regs[reg] = value;
376                 ac97->bus->ops->write(ac97, reg, value);
377         }
378         set_bit(reg, ac97->reg_accessed);
379         mutex_unlock(&ac97->reg_mutex);
380         return change;
381 }
382
383 EXPORT_SYMBOL(snd_ac97_update);
384
385 /**
386  * snd_ac97_update_bits - update the bits on the given register
387  * @ac97: the ac97 instance
388  * @reg: the register to change
389  * @mask: the bit-mask to change
390  * @value: the value to set
391  *
392  * Updates the masked-bits on the given register only when the value
393  * is changed.
394  *
395  * Return: 1 if the bits are changed, 0 if no change, or a negative
396  * code on failure.
397  */
398 int snd_ac97_update_bits(struct snd_ac97 *ac97, unsigned short reg, unsigned short mask, unsigned short value)
399 {
400         int change;
401
402         if (!snd_ac97_valid_reg(ac97, reg))
403                 return -EINVAL;
404         mutex_lock(&ac97->reg_mutex);
405         change = snd_ac97_update_bits_nolock(ac97, reg, mask, value);
406         mutex_unlock(&ac97->reg_mutex);
407         return change;
408 }
409
410 EXPORT_SYMBOL(snd_ac97_update_bits);
411
412 /* no lock version - see snd_ac97_update_bits() */
413 int snd_ac97_update_bits_nolock(struct snd_ac97 *ac97, unsigned short reg,
414                                 unsigned short mask, unsigned short value)
415 {
416         int change;
417         unsigned short old, new;
418
419         old = snd_ac97_read_cache(ac97, reg);
420         new = (old & ~mask) | (value & mask);
421         change = old != new;
422         if (change) {
423                 ac97->regs[reg] = new;
424                 ac97->bus->ops->write(ac97, reg, new);
425         }
426         set_bit(reg, ac97->reg_accessed);
427         return change;
428 }
429
430 static int snd_ac97_ad18xx_update_pcm_bits(struct snd_ac97 *ac97, int codec, unsigned short mask, unsigned short value)
431 {
432         int change;
433         unsigned short old, new, cfg;
434
435         mutex_lock(&ac97->page_mutex);
436         old = ac97->spec.ad18xx.pcmreg[codec];
437         new = (old & ~mask) | (value & mask);
438         change = old != new;
439         if (change) {
440                 mutex_lock(&ac97->reg_mutex);
441                 cfg = snd_ac97_read_cache(ac97, AC97_AD_SERIAL_CFG);
442                 ac97->spec.ad18xx.pcmreg[codec] = new;
443                 /* select single codec */
444                 ac97->bus->ops->write(ac97, AC97_AD_SERIAL_CFG,
445                                  (cfg & ~0x7000) |
446                                  ac97->spec.ad18xx.unchained[codec] | ac97->spec.ad18xx.chained[codec]);
447                 /* update PCM bits */
448                 ac97->bus->ops->write(ac97, AC97_PCM, new);
449                 /* select all codecs */
450                 ac97->bus->ops->write(ac97, AC97_AD_SERIAL_CFG,
451                                  cfg | 0x7000);
452                 mutex_unlock(&ac97->reg_mutex);
453         }
454         mutex_unlock(&ac97->page_mutex);
455         return change;
456 }
457
458 /*
459  * Controls
460  */
461
462 static int snd_ac97_info_enum_double(struct snd_kcontrol *kcontrol,
463                                      struct snd_ctl_elem_info *uinfo)
464 {
465         struct ac97_enum *e = (struct ac97_enum *)kcontrol->private_value;
466         
467         return snd_ctl_enum_info(uinfo, e->shift_l == e->shift_r ? 1 : 2,
468                                  e->mask, e->texts);
469 }
470
471 static int snd_ac97_get_enum_double(struct snd_kcontrol *kcontrol,
472                                     struct snd_ctl_elem_value *ucontrol)
473 {
474         struct snd_ac97 *ac97 = snd_kcontrol_chip(kcontrol);
475         struct ac97_enum *e = (struct ac97_enum *)kcontrol->private_value;
476         unsigned short val, bitmask;
477         
478         for (bitmask = 1; bitmask < e->mask; bitmask <<= 1)
479                 ;
480         val = snd_ac97_read_cache(ac97, e->reg);
481         ucontrol->value.enumerated.item[0] = (val >> e->shift_l) & (bitmask - 1);
482         if (e->shift_l != e->shift_r)
483                 ucontrol->value.enumerated.item[1] = (val >> e->shift_r) & (bitmask - 1);
484
485         return 0;
486 }
487
488 static int snd_ac97_put_enum_double(struct snd_kcontrol *kcontrol,
489                                     struct snd_ctl_elem_value *ucontrol)
490 {
491         struct snd_ac97 *ac97 = snd_kcontrol_chip(kcontrol);
492         struct ac97_enum *e = (struct ac97_enum *)kcontrol->private_value;
493         unsigned short val;
494         unsigned short mask, bitmask;
495         
496         for (bitmask = 1; bitmask < e->mask; bitmask <<= 1)
497                 ;
498         if (ucontrol->value.enumerated.item[0] > e->mask - 1)
499                 return -EINVAL;
500         val = ucontrol->value.enumerated.item[0] << e->shift_l;
501         mask = (bitmask - 1) << e->shift_l;
502         if (e->shift_l != e->shift_r) {
503                 if (ucontrol->value.enumerated.item[1] > e->mask - 1)
504                         return -EINVAL;
505                 val |= ucontrol->value.enumerated.item[1] << e->shift_r;
506                 mask |= (bitmask - 1) << e->shift_r;
507         }
508         return snd_ac97_update_bits(ac97, e->reg, mask, val);
509 }
510
511 /* save/restore ac97 v2.3 paging */
512 static int snd_ac97_page_save(struct snd_ac97 *ac97, int reg, struct snd_kcontrol *kcontrol)
513 {
514         int page_save = -1;
515         if ((kcontrol->private_value & (1<<25)) &&
516             (ac97->ext_id & AC97_EI_REV_MASK) >= AC97_EI_REV_23 &&
517             (reg >= 0x60 && reg < 0x70)) {
518                 unsigned short page = (kcontrol->private_value >> 26) & 0x0f;
519                 mutex_lock(&ac97->page_mutex); /* lock paging */
520                 page_save = snd_ac97_read(ac97, AC97_INT_PAGING) & AC97_PAGE_MASK;
521                 snd_ac97_update_bits(ac97, AC97_INT_PAGING, AC97_PAGE_MASK, page);
522         }
523         return page_save;
524 }
525
526 static void snd_ac97_page_restore(struct snd_ac97 *ac97, int page_save)
527 {
528         if (page_save >= 0) {
529                 snd_ac97_update_bits(ac97, AC97_INT_PAGING, AC97_PAGE_MASK, page_save);
530                 mutex_unlock(&ac97->page_mutex); /* unlock paging */
531         }
532 }
533
534 /* volume and switch controls */
535 static int snd_ac97_info_volsw(struct snd_kcontrol *kcontrol,
536                                struct snd_ctl_elem_info *uinfo)
537 {
538         int mask = (kcontrol->private_value >> 16) & 0xff;
539         int shift = (kcontrol->private_value >> 8) & 0x0f;
540         int rshift = (kcontrol->private_value >> 12) & 0x0f;
541
542         uinfo->type = mask == 1 ? SNDRV_CTL_ELEM_TYPE_BOOLEAN : SNDRV_CTL_ELEM_TYPE_INTEGER;
543         uinfo->count = shift == rshift ? 1 : 2;
544         uinfo->value.integer.min = 0;
545         uinfo->value.integer.max = mask;
546         return 0;
547 }
548
549 static int snd_ac97_get_volsw(struct snd_kcontrol *kcontrol,
550                               struct snd_ctl_elem_value *ucontrol)
551 {
552         struct snd_ac97 *ac97 = snd_kcontrol_chip(kcontrol);
553         int reg = kcontrol->private_value & 0xff;
554         int shift = (kcontrol->private_value >> 8) & 0x0f;
555         int rshift = (kcontrol->private_value >> 12) & 0x0f;
556         int mask = (kcontrol->private_value >> 16) & 0xff;
557         int invert = (kcontrol->private_value >> 24) & 0x01;
558         int page_save;
559
560         page_save = snd_ac97_page_save(ac97, reg, kcontrol);
561         ucontrol->value.integer.value[0] = (snd_ac97_read_cache(ac97, reg) >> shift) & mask;
562         if (shift != rshift)
563                 ucontrol->value.integer.value[1] = (snd_ac97_read_cache(ac97, reg) >> rshift) & mask;
564         if (invert) {
565                 ucontrol->value.integer.value[0] = mask - ucontrol->value.integer.value[0];
566                 if (shift != rshift)
567                         ucontrol->value.integer.value[1] = mask - ucontrol->value.integer.value[1];
568         }
569         snd_ac97_page_restore(ac97, page_save);
570         return 0;
571 }
572
573 static int snd_ac97_put_volsw(struct snd_kcontrol *kcontrol,
574                               struct snd_ctl_elem_value *ucontrol)
575 {
576         struct snd_ac97 *ac97 = snd_kcontrol_chip(kcontrol);
577         int reg = kcontrol->private_value & 0xff;
578         int shift = (kcontrol->private_value >> 8) & 0x0f;
579         int rshift = (kcontrol->private_value >> 12) & 0x0f;
580         int mask = (kcontrol->private_value >> 16) & 0xff;
581         int invert = (kcontrol->private_value >> 24) & 0x01;
582         int err, page_save;
583         unsigned short val, val2, val_mask;
584         
585         page_save = snd_ac97_page_save(ac97, reg, kcontrol);
586         val = (ucontrol->value.integer.value[0] & mask);
587         if (invert)
588                 val = mask - val;
589         val_mask = mask << shift;
590         val = val << shift;
591         if (shift != rshift) {
592                 val2 = (ucontrol->value.integer.value[1] & mask);
593                 if (invert)
594                         val2 = mask - val2;
595                 val_mask |= mask << rshift;
596                 val |= val2 << rshift;
597         }
598         err = snd_ac97_update_bits(ac97, reg, val_mask, val);
599         snd_ac97_page_restore(ac97, page_save);
600 #ifdef CONFIG_SND_AC97_POWER_SAVE
601         /* check analog mixer power-down */
602         if ((val_mask & AC97_PD_EAPD) &&
603             (kcontrol->private_value & (1<<30))) {
604                 if (val & AC97_PD_EAPD)
605                         ac97->power_up &= ~(1 << (reg>>1));
606                 else
607                         ac97->power_up |= 1 << (reg>>1);
608                 update_power_regs(ac97);
609         }
610 #endif
611         return err;
612 }
613
614 static const struct snd_kcontrol_new snd_ac97_controls_master_mono[2] = {
615 AC97_SINGLE("Master Mono Playback Switch", AC97_MASTER_MONO, 15, 1, 1),
616 AC97_SINGLE("Master Mono Playback Volume", AC97_MASTER_MONO, 0, 31, 1)
617 };
618
619 static const struct snd_kcontrol_new snd_ac97_controls_tone[2] = {
620 AC97_SINGLE("Tone Control - Bass", AC97_MASTER_TONE, 8, 15, 1),
621 AC97_SINGLE("Tone Control - Treble", AC97_MASTER_TONE, 0, 15, 1)
622 };
623
624 static const struct snd_kcontrol_new snd_ac97_controls_pc_beep[2] = {
625 AC97_SINGLE("Beep Playback Switch", AC97_PC_BEEP, 15, 1, 1),
626 AC97_SINGLE("Beep Playback Volume", AC97_PC_BEEP, 1, 15, 1)
627 };
628
629 static const struct snd_kcontrol_new snd_ac97_controls_mic_boost =
630         AC97_SINGLE("Mic Boost (+20dB)", AC97_MIC, 6, 1, 0);
631
632
633 static const char* std_rec_sel[] = {"Mic", "CD", "Video", "Aux", "Line", "Mix", "Mix Mono", "Phone"};
634 static const char* std_3d_path[] = {"pre 3D", "post 3D"};
635 static const char* std_mix[] = {"Mix", "Mic"};
636 static const char* std_mic[] = {"Mic1", "Mic2"};
637
638 static const struct ac97_enum std_enum[] = {
639 AC97_ENUM_DOUBLE(AC97_REC_SEL, 8, 0, 8, std_rec_sel),
640 AC97_ENUM_SINGLE(AC97_GENERAL_PURPOSE, 15, 2, std_3d_path),
641 AC97_ENUM_SINGLE(AC97_GENERAL_PURPOSE, 9, 2, std_mix),
642 AC97_ENUM_SINGLE(AC97_GENERAL_PURPOSE, 8, 2, std_mic),
643 };
644
645 static const struct snd_kcontrol_new snd_ac97_control_capture_src = 
646 AC97_ENUM("Capture Source", std_enum[0]); 
647
648 static const struct snd_kcontrol_new snd_ac97_control_capture_vol =
649 AC97_DOUBLE("Capture Volume", AC97_REC_GAIN, 8, 0, 15, 0);
650
651 static const struct snd_kcontrol_new snd_ac97_controls_mic_capture[2] = {
652 AC97_SINGLE("Mic Capture Switch", AC97_REC_GAIN_MIC, 15, 1, 1),
653 AC97_SINGLE("Mic Capture Volume", AC97_REC_GAIN_MIC, 0, 15, 0)
654 };
655
656 enum {
657         AC97_GENERAL_PCM_OUT = 0,
658         AC97_GENERAL_STEREO_ENHANCEMENT,
659         AC97_GENERAL_3D,
660         AC97_GENERAL_LOUDNESS,
661         AC97_GENERAL_MONO,
662         AC97_GENERAL_MIC,
663         AC97_GENERAL_LOOPBACK
664 };
665
666 static const struct snd_kcontrol_new snd_ac97_controls_general[7] = {
667 AC97_ENUM("PCM Out Path & Mute", std_enum[1]),
668 AC97_SINGLE("Simulated Stereo Enhancement", AC97_GENERAL_PURPOSE, 14, 1, 0),
669 AC97_SINGLE("3D Control - Switch", AC97_GENERAL_PURPOSE, 13, 1, 0),
670 AC97_SINGLE("Loudness (bass boost)", AC97_GENERAL_PURPOSE, 12, 1, 0),
671 AC97_ENUM("Mono Output Select", std_enum[2]),
672 AC97_ENUM("Mic Select", std_enum[3]),
673 AC97_SINGLE("ADC/DAC Loopback", AC97_GENERAL_PURPOSE, 7, 1, 0)
674 };
675
676 static const struct snd_kcontrol_new snd_ac97_controls_3d[2] = {
677 AC97_SINGLE("3D Control - Center", AC97_3D_CONTROL, 8, 15, 0),
678 AC97_SINGLE("3D Control - Depth", AC97_3D_CONTROL, 0, 15, 0)
679 };
680
681 static const struct snd_kcontrol_new snd_ac97_controls_center[2] = {
682 AC97_SINGLE("Center Playback Switch", AC97_CENTER_LFE_MASTER, 7, 1, 1),
683 AC97_SINGLE("Center Playback Volume", AC97_CENTER_LFE_MASTER, 0, 31, 1)
684 };
685
686 static const struct snd_kcontrol_new snd_ac97_controls_lfe[2] = {
687 AC97_SINGLE("LFE Playback Switch", AC97_CENTER_LFE_MASTER, 15, 1, 1),
688 AC97_SINGLE("LFE Playback Volume", AC97_CENTER_LFE_MASTER, 8, 31, 1)
689 };
690
691 static const struct snd_kcontrol_new snd_ac97_control_eapd =
692 AC97_SINGLE("External Amplifier", AC97_POWERDOWN, 15, 1, 1);
693
694 static const struct snd_kcontrol_new snd_ac97_controls_modem_switches[2] = {
695 AC97_SINGLE("Off-hook Switch", AC97_GPIO_STATUS, 0, 1, 0),
696 AC97_SINGLE("Caller ID Switch", AC97_GPIO_STATUS, 2, 1, 0)
697 };
698
699 /* change the existing EAPD control as inverted */
700 static void set_inv_eapd(struct snd_ac97 *ac97, struct snd_kcontrol *kctl)
701 {
702         kctl->private_value = AC97_SINGLE_VALUE(AC97_POWERDOWN, 15, 1, 0);
703         snd_ac97_update_bits(ac97, AC97_POWERDOWN, (1<<15), (1<<15)); /* EAPD up */
704         ac97->scaps |= AC97_SCAP_INV_EAPD;
705 }
706
707 static int snd_ac97_spdif_mask_info(struct snd_kcontrol *kcontrol, struct snd_ctl_elem_info *uinfo)
708 {
709         uinfo->type = SNDRV_CTL_ELEM_TYPE_IEC958;
710         uinfo->count = 1;
711         return 0;
712 }
713                         
714 static int snd_ac97_spdif_cmask_get(struct snd_kcontrol *kcontrol, struct snd_ctl_elem_value *ucontrol)
715 {
716         ucontrol->value.iec958.status[0] = IEC958_AES0_PROFESSIONAL |
717                                            IEC958_AES0_NONAUDIO |
718                                            IEC958_AES0_CON_EMPHASIS_5015 |
719                                            IEC958_AES0_CON_NOT_COPYRIGHT;
720         ucontrol->value.iec958.status[1] = IEC958_AES1_CON_CATEGORY |
721                                            IEC958_AES1_CON_ORIGINAL;
722         ucontrol->value.iec958.status[3] = IEC958_AES3_CON_FS;
723         return 0;
724 }
725                         
726 static int snd_ac97_spdif_pmask_get(struct snd_kcontrol *kcontrol, struct snd_ctl_elem_value *ucontrol)
727 {
728         /* FIXME: AC'97 spec doesn't say which bits are used for what */
729         ucontrol->value.iec958.status[0] = IEC958_AES0_PROFESSIONAL |
730                                            IEC958_AES0_NONAUDIO |
731                                            IEC958_AES0_PRO_FS |
732                                            IEC958_AES0_PRO_EMPHASIS_5015;
733         return 0;
734 }
735
736 static int snd_ac97_spdif_default_get(struct snd_kcontrol *kcontrol, struct snd_ctl_elem_value *ucontrol)
737 {
738         struct snd_ac97 *ac97 = snd_kcontrol_chip(kcontrol);
739
740         mutex_lock(&ac97->reg_mutex);
741         ucontrol->value.iec958.status[0] = ac97->spdif_status & 0xff;
742         ucontrol->value.iec958.status[1] = (ac97->spdif_status >> 8) & 0xff;
743         ucontrol->value.iec958.status[2] = (ac97->spdif_status >> 16) & 0xff;
744         ucontrol->value.iec958.status[3] = (ac97->spdif_status >> 24) & 0xff;
745         mutex_unlock(&ac97->reg_mutex);
746         return 0;
747 }
748                         
749 static int snd_ac97_spdif_default_put(struct snd_kcontrol *kcontrol, struct snd_ctl_elem_value *ucontrol)
750 {
751         struct snd_ac97 *ac97 = snd_kcontrol_chip(kcontrol);
752         unsigned int new = 0;
753         unsigned short val = 0;
754         int change;
755
756         new = val = ucontrol->value.iec958.status[0] & (IEC958_AES0_PROFESSIONAL|IEC958_AES0_NONAUDIO);
757         if (ucontrol->value.iec958.status[0] & IEC958_AES0_PROFESSIONAL) {
758                 new |= ucontrol->value.iec958.status[0] & (IEC958_AES0_PRO_FS|IEC958_AES0_PRO_EMPHASIS_5015);
759                 switch (new & IEC958_AES0_PRO_FS) {
760                 case IEC958_AES0_PRO_FS_44100: val |= 0<<12; break;
761                 case IEC958_AES0_PRO_FS_48000: val |= 2<<12; break;
762                 case IEC958_AES0_PRO_FS_32000: val |= 3<<12; break;
763                 default:                       val |= 1<<12; break;
764                 }
765                 if ((new & IEC958_AES0_PRO_EMPHASIS) == IEC958_AES0_PRO_EMPHASIS_5015)
766                         val |= 1<<3;
767         } else {
768                 new |= ucontrol->value.iec958.status[0] & (IEC958_AES0_CON_EMPHASIS_5015|IEC958_AES0_CON_NOT_COPYRIGHT);
769                 new |= ((ucontrol->value.iec958.status[1] & (IEC958_AES1_CON_CATEGORY|IEC958_AES1_CON_ORIGINAL)) << 8);
770                 new |= ((ucontrol->value.iec958.status[3] & IEC958_AES3_CON_FS) << 24);
771                 if ((new & IEC958_AES0_CON_EMPHASIS) == IEC958_AES0_CON_EMPHASIS_5015)
772                         val |= 1<<3;
773                 if (!(new & IEC958_AES0_CON_NOT_COPYRIGHT))
774                         val |= 1<<2;
775                 val |= ((new >> 8) & 0xff) << 4;        // category + original
776                 switch ((new >> 24) & 0xff) {
777                 case IEC958_AES3_CON_FS_44100: val |= 0<<12; break;
778                 case IEC958_AES3_CON_FS_48000: val |= 2<<12; break;
779                 case IEC958_AES3_CON_FS_32000: val |= 3<<12; break;
780                 default:                       val |= 1<<12; break;
781                 }
782         }
783
784         mutex_lock(&ac97->reg_mutex);
785         change = ac97->spdif_status != new;
786         ac97->spdif_status = new;
787
788         if (ac97->flags & AC97_CS_SPDIF) {
789                 int x = (val >> 12) & 0x03;
790                 switch (x) {
791                 case 0: x = 1; break;  // 44.1
792                 case 2: x = 0; break;  // 48.0
793                 default: x = 0; break; // illegal.
794                 }
795                 change |= snd_ac97_update_bits_nolock(ac97, AC97_CSR_SPDIF, 0x3fff, ((val & 0xcfff) | (x << 12)));
796         } else if (ac97->flags & AC97_CX_SPDIF) {
797                 int v;
798                 v = new & (IEC958_AES0_CON_EMPHASIS_5015|IEC958_AES0_CON_NOT_COPYRIGHT) ? 0 : AC97_CXR_COPYRGT;
799                 v |= new & IEC958_AES0_NONAUDIO ? AC97_CXR_SPDIF_AC3 : AC97_CXR_SPDIF_PCM;
800                 change |= snd_ac97_update_bits_nolock(ac97, AC97_CXR_AUDIO_MISC, 
801                                                       AC97_CXR_SPDIF_MASK | AC97_CXR_COPYRGT,
802                                                       v);
803         } else if (ac97->id == AC97_ID_YMF743) {
804                 change |= snd_ac97_update_bits_nolock(ac97,
805                                                       AC97_YMF7X3_DIT_CTRL,
806                                                       0xff38,
807                                                       ((val << 4) & 0xff00) |
808                                                       ((val << 2) & 0x0038));
809         } else {
810                 unsigned short extst = snd_ac97_read_cache(ac97, AC97_EXTENDED_STATUS);
811                 snd_ac97_update_bits_nolock(ac97, AC97_EXTENDED_STATUS, AC97_EA_SPDIF, 0); /* turn off */
812
813                 change |= snd_ac97_update_bits_nolock(ac97, AC97_SPDIF, 0x3fff, val);
814                 if (extst & AC97_EA_SPDIF) {
815                         snd_ac97_update_bits_nolock(ac97, AC97_EXTENDED_STATUS, AC97_EA_SPDIF, AC97_EA_SPDIF); /* turn on again */
816                 }
817         }
818         mutex_unlock(&ac97->reg_mutex);
819
820         return change;
821 }
822
823 static int snd_ac97_put_spsa(struct snd_kcontrol *kcontrol, struct snd_ctl_elem_value *ucontrol)
824 {
825         struct snd_ac97 *ac97 = snd_kcontrol_chip(kcontrol);
826         int reg = kcontrol->private_value & 0xff;
827         int shift = (kcontrol->private_value >> 8) & 0x0f;
828         int mask = (kcontrol->private_value >> 16) & 0xff;
829         // int invert = (kcontrol->private_value >> 24) & 0xff;
830         unsigned short value, old, new;
831         int change;
832
833         value = (ucontrol->value.integer.value[0] & mask);
834
835         mutex_lock(&ac97->reg_mutex);
836         mask <<= shift;
837         value <<= shift;
838         old = snd_ac97_read_cache(ac97, reg);
839         new = (old & ~mask) | value;
840         change = old != new;
841
842         if (change) {
843                 unsigned short extst = snd_ac97_read_cache(ac97, AC97_EXTENDED_STATUS);
844                 snd_ac97_update_bits_nolock(ac97, AC97_EXTENDED_STATUS, AC97_EA_SPDIF, 0); /* turn off */
845                 change = snd_ac97_update_bits_nolock(ac97, reg, mask, value);
846                 if (extst & AC97_EA_SPDIF)
847                         snd_ac97_update_bits_nolock(ac97, AC97_EXTENDED_STATUS, AC97_EA_SPDIF, AC97_EA_SPDIF); /* turn on again */
848         }
849         mutex_unlock(&ac97->reg_mutex);
850         return change;
851 }
852
853 static const struct snd_kcontrol_new snd_ac97_controls_spdif[5] = {
854         {
855                 .access = SNDRV_CTL_ELEM_ACCESS_READ,
856                 .iface = SNDRV_CTL_ELEM_IFACE_MIXER,
857                 .name = SNDRV_CTL_NAME_IEC958("",PLAYBACK,CON_MASK),
858                 .info = snd_ac97_spdif_mask_info,
859                 .get = snd_ac97_spdif_cmask_get,
860         },
861         {
862                 .access = SNDRV_CTL_ELEM_ACCESS_READ,
863                 .iface = SNDRV_CTL_ELEM_IFACE_MIXER,
864                 .name = SNDRV_CTL_NAME_IEC958("",PLAYBACK,PRO_MASK),
865                 .info = snd_ac97_spdif_mask_info,
866                 .get = snd_ac97_spdif_pmask_get,
867         },
868         {
869                 .iface = SNDRV_CTL_ELEM_IFACE_MIXER,
870                 .name = SNDRV_CTL_NAME_IEC958("",PLAYBACK,DEFAULT),
871                 .info = snd_ac97_spdif_mask_info,
872                 .get = snd_ac97_spdif_default_get,
873                 .put = snd_ac97_spdif_default_put,
874         },
875
876         AC97_SINGLE(SNDRV_CTL_NAME_IEC958("",PLAYBACK,SWITCH),AC97_EXTENDED_STATUS, 2, 1, 0),
877         {
878                 .iface = SNDRV_CTL_ELEM_IFACE_MIXER,
879                 .name = SNDRV_CTL_NAME_IEC958("",PLAYBACK,NONE) "AC97-SPSA",
880                 .info = snd_ac97_info_volsw,
881                 .get = snd_ac97_get_volsw,
882                 .put = snd_ac97_put_spsa,
883                 .private_value = AC97_SINGLE_VALUE(AC97_EXTENDED_STATUS, 4, 3, 0)
884         },
885 };
886
887 #define AD18XX_PCM_BITS(xname, codec, lshift, rshift, mask) \
888 { .iface = SNDRV_CTL_ELEM_IFACE_MIXER, .name = xname, .info = snd_ac97_ad18xx_pcm_info_bits, \
889   .get = snd_ac97_ad18xx_pcm_get_bits, .put = snd_ac97_ad18xx_pcm_put_bits, \
890   .private_value = (codec) | ((lshift) << 8) | ((rshift) << 12) | ((mask) << 16) }
891
892 static int snd_ac97_ad18xx_pcm_info_bits(struct snd_kcontrol *kcontrol, struct snd_ctl_elem_info *uinfo)
893 {
894         struct snd_ac97 *ac97 = snd_kcontrol_chip(kcontrol);
895         int mask = (kcontrol->private_value >> 16) & 0x0f;
896         int lshift = (kcontrol->private_value >> 8) & 0x0f;
897         int rshift = (kcontrol->private_value >> 12) & 0x0f;
898
899         uinfo->type = mask == 1 ? SNDRV_CTL_ELEM_TYPE_BOOLEAN : SNDRV_CTL_ELEM_TYPE_INTEGER;
900         if (lshift != rshift && (ac97->flags & AC97_STEREO_MUTES))
901                 uinfo->count = 2;
902         else
903                 uinfo->count = 1;
904         uinfo->value.integer.min = 0;
905         uinfo->value.integer.max = mask;
906         return 0;
907 }
908
909 static int snd_ac97_ad18xx_pcm_get_bits(struct snd_kcontrol *kcontrol, struct snd_ctl_elem_value *ucontrol)
910 {
911         struct snd_ac97 *ac97 = snd_kcontrol_chip(kcontrol);
912         int codec = kcontrol->private_value & 3;
913         int lshift = (kcontrol->private_value >> 8) & 0x0f;
914         int rshift = (kcontrol->private_value >> 12) & 0x0f;
915         int mask = (kcontrol->private_value >> 16) & 0xff;
916         
917         ucontrol->value.integer.value[0] = mask - ((ac97->spec.ad18xx.pcmreg[codec] >> lshift) & mask);
918         if (lshift != rshift && (ac97->flags & AC97_STEREO_MUTES))
919                 ucontrol->value.integer.value[1] = mask - ((ac97->spec.ad18xx.pcmreg[codec] >> rshift) & mask);
920         return 0;
921 }
922
923 static int snd_ac97_ad18xx_pcm_put_bits(struct snd_kcontrol *kcontrol, struct snd_ctl_elem_value *ucontrol)
924 {
925         struct snd_ac97 *ac97 = snd_kcontrol_chip(kcontrol);
926         int codec = kcontrol->private_value & 3;
927         int lshift = (kcontrol->private_value >> 8) & 0x0f;
928         int rshift = (kcontrol->private_value >> 12) & 0x0f;
929         int mask = (kcontrol->private_value >> 16) & 0xff;
930         unsigned short val, valmask;
931         
932         val = (mask - (ucontrol->value.integer.value[0] & mask)) << lshift;
933         valmask = mask << lshift;
934         if (lshift != rshift && (ac97->flags & AC97_STEREO_MUTES)) {
935                 val |= (mask - (ucontrol->value.integer.value[1] & mask)) << rshift;
936                 valmask |= mask << rshift;
937         }
938         return snd_ac97_ad18xx_update_pcm_bits(ac97, codec, valmask, val);
939 }
940
941 #define AD18XX_PCM_VOLUME(xname, codec) \
942 { .iface = SNDRV_CTL_ELEM_IFACE_MIXER, .name = xname, .info = snd_ac97_ad18xx_pcm_info_volume, \
943   .get = snd_ac97_ad18xx_pcm_get_volume, .put = snd_ac97_ad18xx_pcm_put_volume, \
944   .private_value = codec }
945
946 static int snd_ac97_ad18xx_pcm_info_volume(struct snd_kcontrol *kcontrol, struct snd_ctl_elem_info *uinfo)
947 {
948         uinfo->type = SNDRV_CTL_ELEM_TYPE_INTEGER;
949         uinfo->count = 2;
950         uinfo->value.integer.min = 0;
951         uinfo->value.integer.max = 31;
952         return 0;
953 }
954
955 static int snd_ac97_ad18xx_pcm_get_volume(struct snd_kcontrol *kcontrol, struct snd_ctl_elem_value *ucontrol)
956 {
957         struct snd_ac97 *ac97 = snd_kcontrol_chip(kcontrol);
958         int codec = kcontrol->private_value & 3;
959         
960         mutex_lock(&ac97->page_mutex);
961         ucontrol->value.integer.value[0] = 31 - ((ac97->spec.ad18xx.pcmreg[codec] >> 8) & 31);
962         ucontrol->value.integer.value[1] = 31 - ((ac97->spec.ad18xx.pcmreg[codec] >> 0) & 31);
963         mutex_unlock(&ac97->page_mutex);
964         return 0;
965 }
966
967 static int snd_ac97_ad18xx_pcm_put_volume(struct snd_kcontrol *kcontrol, struct snd_ctl_elem_value *ucontrol)
968 {
969         struct snd_ac97 *ac97 = snd_kcontrol_chip(kcontrol);
970         int codec = kcontrol->private_value & 3;
971         unsigned short val1, val2;
972         
973         val1 = 31 - (ucontrol->value.integer.value[0] & 31);
974         val2 = 31 - (ucontrol->value.integer.value[1] & 31);
975         return snd_ac97_ad18xx_update_pcm_bits(ac97, codec, 0x1f1f, (val1 << 8) | val2);
976 }
977
978 static const struct snd_kcontrol_new snd_ac97_controls_ad18xx_pcm[2] = {
979 AD18XX_PCM_BITS("PCM Playback Switch", 0, 15, 7, 1),
980 AD18XX_PCM_VOLUME("PCM Playback Volume", 0)
981 };
982
983 static const struct snd_kcontrol_new snd_ac97_controls_ad18xx_surround[2] = {
984 AD18XX_PCM_BITS("Surround Playback Switch", 1, 15, 7, 1),
985 AD18XX_PCM_VOLUME("Surround Playback Volume", 1)
986 };
987
988 static const struct snd_kcontrol_new snd_ac97_controls_ad18xx_center[2] = {
989 AD18XX_PCM_BITS("Center Playback Switch", 2, 15, 15, 1),
990 AD18XX_PCM_BITS("Center Playback Volume", 2, 8, 8, 31)
991 };
992
993 static const struct snd_kcontrol_new snd_ac97_controls_ad18xx_lfe[2] = {
994 AD18XX_PCM_BITS("LFE Playback Switch", 2, 7, 7, 1),
995 AD18XX_PCM_BITS("LFE Playback Volume", 2, 0, 0, 31)
996 };
997
998 /*
999  *
1000  */
1001
1002 static void snd_ac97_powerdown(struct snd_ac97 *ac97);
1003
1004 static int snd_ac97_bus_free(struct snd_ac97_bus *bus)
1005 {
1006         if (bus) {
1007                 snd_ac97_bus_proc_done(bus);
1008                 kfree(bus->pcms);
1009                 if (bus->private_free)
1010                         bus->private_free(bus);
1011                 kfree(bus);
1012         }
1013         return 0;
1014 }
1015
1016 static int snd_ac97_bus_dev_free(struct snd_device *device)
1017 {
1018         struct snd_ac97_bus *bus = device->device_data;
1019         return snd_ac97_bus_free(bus);
1020 }
1021
1022 static int snd_ac97_free(struct snd_ac97 *ac97)
1023 {
1024         if (ac97) {
1025 #ifdef CONFIG_SND_AC97_POWER_SAVE
1026                 cancel_delayed_work_sync(&ac97->power_work);
1027 #endif
1028                 snd_ac97_proc_done(ac97);
1029                 if (ac97->bus)
1030                         ac97->bus->codec[ac97->num] = NULL;
1031                 if (ac97->private_free)
1032                         ac97->private_free(ac97);
1033                 kfree(ac97);
1034         }
1035         return 0;
1036 }
1037
1038 static int snd_ac97_dev_free(struct snd_device *device)
1039 {
1040         struct snd_ac97 *ac97 = device->device_data;
1041         snd_ac97_powerdown(ac97); /* for avoiding click noises during shut down */
1042         return snd_ac97_free(ac97);
1043 }
1044
1045 static int snd_ac97_try_volume_mix(struct snd_ac97 * ac97, int reg)
1046 {
1047         unsigned short val, mask = AC97_MUTE_MASK_MONO;
1048
1049         if (! snd_ac97_valid_reg(ac97, reg))
1050                 return 0;
1051
1052         switch (reg) {
1053         case AC97_MASTER_TONE:
1054                 return ac97->caps & AC97_BC_BASS_TREBLE ? 1 : 0;
1055         case AC97_HEADPHONE:
1056                 return ac97->caps & AC97_BC_HEADPHONE ? 1 : 0;
1057         case AC97_REC_GAIN_MIC:
1058                 return ac97->caps & AC97_BC_DEDICATED_MIC ? 1 : 0;
1059         case AC97_3D_CONTROL:
1060                 if (ac97->caps & AC97_BC_3D_TECH_ID_MASK) {
1061                         val = snd_ac97_read(ac97, reg);
1062                         /* if nonzero - fixed and we can't set it */
1063                         return val == 0;
1064                 }
1065                 return 0;
1066         case AC97_CENTER_LFE_MASTER:    /* center */
1067                 if ((ac97->ext_id & AC97_EI_CDAC) == 0)
1068                         return 0;
1069                 break;
1070         case AC97_CENTER_LFE_MASTER+1:  /* lfe */
1071                 if ((ac97->ext_id & AC97_EI_LDAC) == 0)
1072                         return 0;
1073                 reg = AC97_CENTER_LFE_MASTER;
1074                 mask = 0x0080;
1075                 break;
1076         case AC97_SURROUND_MASTER:
1077                 if ((ac97->ext_id & AC97_EI_SDAC) == 0)
1078                         return 0;
1079                 break;
1080         }
1081
1082         val = snd_ac97_read(ac97, reg);
1083         if (!(val & mask)) {
1084                 /* nothing seems to be here - mute flag is not set */
1085                 /* try another test */
1086                 snd_ac97_write_cache(ac97, reg, val | mask);
1087                 val = snd_ac97_read(ac97, reg);
1088                 val = snd_ac97_read(ac97, reg);
1089                 if (!(val & mask))
1090                         return 0;       /* nothing here */
1091         }
1092         return 1;               /* success, useable */
1093 }
1094
1095 static void check_volume_resolution(struct snd_ac97 *ac97, int reg, unsigned char *lo_max, unsigned char *hi_max)
1096 {
1097         unsigned short cbit[3] = { 0x20, 0x10, 0x01 };
1098         unsigned char max[3] = { 63, 31, 15 };
1099         int i;
1100
1101         /* first look up the static resolution table */
1102         if (ac97->res_table) {
1103                 const struct snd_ac97_res_table *tbl;
1104                 for (tbl = ac97->res_table; tbl->reg; tbl++) {
1105                         if (tbl->reg == reg) {
1106                                 *lo_max = tbl->bits & 0xff;
1107                                 *hi_max = (tbl->bits >> 8) & 0xff;
1108                                 return;
1109                         }
1110                 }
1111         }
1112
1113         *lo_max = *hi_max = 0;
1114         for (i = 0 ; i < ARRAY_SIZE(cbit); i++) {
1115                 unsigned short val;
1116                 snd_ac97_write(
1117                         ac97, reg,
1118                         AC97_MUTE_MASK_STEREO | cbit[i] | (cbit[i] << 8)
1119                 );
1120                 /* Do the read twice due to buffers on some ac97 codecs.
1121                  * e.g. The STAC9704 returns exactly what you wrote to the register
1122                  * if you read it immediately. This causes the detect routine to fail.
1123                  */
1124                 val = snd_ac97_read(ac97, reg);
1125                 val = snd_ac97_read(ac97, reg);
1126                 if (! *lo_max && (val & 0x7f) == cbit[i])
1127                         *lo_max = max[i];
1128                 if (! *hi_max && ((val >> 8) & 0x7f) == cbit[i])
1129                         *hi_max = max[i];
1130                 if (*lo_max && *hi_max)
1131                         break;
1132         }
1133 }
1134
1135 static int snd_ac97_try_bit(struct snd_ac97 * ac97, int reg, int bit)
1136 {
1137         unsigned short mask, val, orig, res;
1138
1139         mask = 1 << bit;
1140         orig = snd_ac97_read(ac97, reg);
1141         val = orig ^ mask;
1142         snd_ac97_write(ac97, reg, val);
1143         res = snd_ac97_read(ac97, reg);
1144         snd_ac97_write_cache(ac97, reg, orig);
1145         return res == val;
1146 }
1147
1148 /* check the volume resolution of center/lfe */
1149 static void snd_ac97_change_volume_params2(struct snd_ac97 * ac97, int reg, int shift, unsigned char *max)
1150 {
1151         unsigned short val, val1;
1152
1153         *max = 63;
1154         val = AC97_MUTE_MASK_STEREO | (0x20 << shift);
1155         snd_ac97_write(ac97, reg, val);
1156         val1 = snd_ac97_read(ac97, reg);
1157         if (val != val1) {
1158                 *max = 31;
1159         }
1160         /* reset volume to zero */
1161         snd_ac97_write_cache(ac97, reg, AC97_MUTE_MASK_STEREO);
1162 }
1163
1164 static inline int printable(unsigned int x)
1165 {
1166         x &= 0xff;
1167         if (x < ' ' || x >= 0x71) {
1168                 if (x <= 0x89)
1169                         return x - 0x71 + 'A';
1170                 return '?';
1171         }
1172         return x;
1173 }
1174
1175 static struct snd_kcontrol *snd_ac97_cnew(const struct snd_kcontrol_new *_template,
1176                                           struct snd_ac97 * ac97)
1177 {
1178         struct snd_kcontrol_new template;
1179         memcpy(&template, _template, sizeof(template));
1180         template.index = ac97->num;
1181         return snd_ctl_new1(&template, ac97);
1182 }
1183
1184 /*
1185  * create mute switch(es) for normal stereo controls
1186  */
1187 static int snd_ac97_cmute_new_stereo(struct snd_card *card, char *name, int reg,
1188                                      int check_stereo, int check_amix,
1189                                      struct snd_ac97 *ac97)
1190 {
1191         struct snd_kcontrol *kctl;
1192         int err;
1193         unsigned short val, val1, mute_mask;
1194
1195         if (! snd_ac97_valid_reg(ac97, reg))
1196                 return 0;
1197
1198         mute_mask = AC97_MUTE_MASK_MONO;
1199         val = snd_ac97_read(ac97, reg);
1200         if (check_stereo || (ac97->flags & AC97_STEREO_MUTES)) {
1201                 /* check whether both mute bits work */
1202                 val1 = val | AC97_MUTE_MASK_STEREO;
1203                 snd_ac97_write(ac97, reg, val1);
1204                 if (val1 == snd_ac97_read(ac97, reg))
1205                         mute_mask = AC97_MUTE_MASK_STEREO;
1206         }
1207         if (mute_mask == AC97_MUTE_MASK_STEREO) {
1208                 struct snd_kcontrol_new tmp = AC97_DOUBLE(name, reg, 15, 7, 1, 1);
1209                 if (check_amix)
1210                         tmp.private_value |= (1 << 30);
1211                 tmp.index = ac97->num;
1212                 kctl = snd_ctl_new1(&tmp, ac97);
1213         } else {
1214                 struct snd_kcontrol_new tmp = AC97_SINGLE(name, reg, 15, 1, 1);
1215                 if (check_amix)
1216                         tmp.private_value |= (1 << 30);
1217                 tmp.index = ac97->num;
1218                 kctl = snd_ctl_new1(&tmp, ac97);
1219         }
1220         err = snd_ctl_add(card, kctl);
1221         if (err < 0)
1222                 return err;
1223         /* mute as default */
1224         snd_ac97_write_cache(ac97, reg, val | mute_mask);
1225         return 0;
1226 }
1227
1228 /*
1229  * set dB information
1230  */
1231 static const DECLARE_TLV_DB_SCALE(db_scale_4bit, -4500, 300, 0);
1232 static const DECLARE_TLV_DB_SCALE(db_scale_5bit, -4650, 150, 0);
1233 static const DECLARE_TLV_DB_SCALE(db_scale_6bit, -9450, 150, 0);
1234 static const DECLARE_TLV_DB_SCALE(db_scale_5bit_12db_max, -3450, 150, 0);
1235 static const DECLARE_TLV_DB_SCALE(db_scale_rec_gain, 0, 150, 0);
1236
1237 static const unsigned int *find_db_scale(unsigned int maxval)
1238 {
1239         switch (maxval) {
1240         case 0x0f: return db_scale_4bit;
1241         case 0x1f: return db_scale_5bit;
1242         case 0x3f: return db_scale_6bit;
1243         }
1244         return NULL;
1245 }
1246
1247 static void set_tlv_db_scale(struct snd_kcontrol *kctl, const unsigned int *tlv)
1248 {
1249         kctl->tlv.p = tlv;
1250         if (tlv)
1251                 kctl->vd[0].access |= SNDRV_CTL_ELEM_ACCESS_TLV_READ;
1252 }
1253
1254 /*
1255  * create a volume for normal stereo/mono controls
1256  */
1257 static int snd_ac97_cvol_new(struct snd_card *card, char *name, int reg, unsigned int lo_max,
1258                              unsigned int hi_max, struct snd_ac97 *ac97)
1259 {
1260         int err;
1261         struct snd_kcontrol *kctl;
1262
1263         if (! snd_ac97_valid_reg(ac97, reg))
1264                 return 0;
1265         if (hi_max) {
1266                 /* invert */
1267                 struct snd_kcontrol_new tmp = AC97_DOUBLE(name, reg, 8, 0, lo_max, 1);
1268                 tmp.index = ac97->num;
1269                 kctl = snd_ctl_new1(&tmp, ac97);
1270         } else {
1271                 /* invert */
1272                 struct snd_kcontrol_new tmp = AC97_SINGLE(name, reg, 0, lo_max, 1);
1273                 tmp.index = ac97->num;
1274                 kctl = snd_ctl_new1(&tmp, ac97);
1275         }
1276         if (!kctl)
1277                 return -ENOMEM;
1278         if (reg >= AC97_PHONE && reg <= AC97_PCM)
1279                 set_tlv_db_scale(kctl, db_scale_5bit_12db_max);
1280         else
1281                 set_tlv_db_scale(kctl, find_db_scale(lo_max));
1282         err = snd_ctl_add(card, kctl);
1283         if (err < 0)
1284                 return err;
1285         snd_ac97_write_cache(
1286                 ac97, reg,
1287                 (snd_ac97_read(ac97, reg) & AC97_MUTE_MASK_STEREO)
1288                 | lo_max | (hi_max << 8)
1289         );
1290         return 0;
1291 }
1292
1293 /*
1294  * create a mute-switch and a volume for normal stereo/mono controls
1295  */
1296 static int snd_ac97_cmix_new_stereo(struct snd_card *card, const char *pfx,
1297                                     int reg, int check_stereo, int check_amix,
1298                                     struct snd_ac97 *ac97)
1299 {
1300         int err;
1301         char name[SNDRV_CTL_ELEM_ID_NAME_MAXLEN];
1302         unsigned char lo_max, hi_max;
1303
1304         if (! snd_ac97_valid_reg(ac97, reg))
1305                 return 0;
1306
1307         if (snd_ac97_try_bit(ac97, reg, 15)) {
1308                 sprintf(name, "%s Switch", pfx);
1309                 if ((err = snd_ac97_cmute_new_stereo(card, name, reg,
1310                                                      check_stereo, check_amix,
1311                                                      ac97)) < 0)
1312                         return err;
1313         }
1314         check_volume_resolution(ac97, reg, &lo_max, &hi_max);
1315         if (lo_max) {
1316                 sprintf(name, "%s Volume", pfx);
1317                 if ((err = snd_ac97_cvol_new(card, name, reg, lo_max, hi_max, ac97)) < 0)
1318                         return err;
1319         }
1320         return 0;
1321 }
1322
1323 #define snd_ac97_cmix_new(card, pfx, reg, acheck, ac97) \
1324         snd_ac97_cmix_new_stereo(card, pfx, reg, 0, acheck, ac97)
1325 #define snd_ac97_cmute_new(card, name, reg, acheck, ac97) \
1326         snd_ac97_cmute_new_stereo(card, name, reg, 0, acheck, ac97)
1327
1328 static unsigned int snd_ac97_determine_spdif_rates(struct snd_ac97 *ac97);
1329
1330 static int snd_ac97_mixer_build(struct snd_ac97 * ac97)
1331 {
1332         struct snd_card *card = ac97->bus->card;
1333         struct snd_kcontrol *kctl;
1334         int err;
1335         unsigned int idx;
1336         unsigned char max;
1337
1338         /* build master controls */
1339         /* AD claims to remove this control from AD1887, although spec v2.2 does not allow this */
1340         if (snd_ac97_try_volume_mix(ac97, AC97_MASTER)) {
1341                 if (ac97->flags & AC97_HAS_NO_MASTER_VOL)
1342                         err = snd_ac97_cmute_new(card, "Master Playback Switch",
1343                                                  AC97_MASTER, 0, ac97);
1344                 else
1345                         err = snd_ac97_cmix_new(card, "Master Playback",
1346                                                 AC97_MASTER, 0, ac97);
1347                 if (err < 0)
1348                         return err;
1349         }
1350
1351         ac97->regs[AC97_CENTER_LFE_MASTER] = AC97_MUTE_MASK_STEREO;
1352
1353         /* build center controls */
1354         if ((snd_ac97_try_volume_mix(ac97, AC97_CENTER_LFE_MASTER)) 
1355                 && !(ac97->flags & AC97_AD_MULTI)) {
1356                 if ((err = snd_ctl_add(card, snd_ac97_cnew(&snd_ac97_controls_center[0], ac97))) < 0)
1357                         return err;
1358                 if ((err = snd_ctl_add(card, kctl = snd_ac97_cnew(&snd_ac97_controls_center[1], ac97))) < 0)
1359                         return err;
1360                 snd_ac97_change_volume_params2(ac97, AC97_CENTER_LFE_MASTER, 0, &max);
1361                 kctl->private_value &= ~(0xff << 16);
1362                 kctl->private_value |= (int)max << 16;
1363                 set_tlv_db_scale(kctl, find_db_scale(max));
1364                 snd_ac97_write_cache(ac97, AC97_CENTER_LFE_MASTER, ac97->regs[AC97_CENTER_LFE_MASTER] | max);
1365         }
1366
1367         /* build LFE controls */
1368         if ((snd_ac97_try_volume_mix(ac97, AC97_CENTER_LFE_MASTER+1))
1369                 && !(ac97->flags & AC97_AD_MULTI)) {
1370                 if ((err = snd_ctl_add(card, snd_ac97_cnew(&snd_ac97_controls_lfe[0], ac97))) < 0)
1371                         return err;
1372                 if ((err = snd_ctl_add(card, kctl = snd_ac97_cnew(&snd_ac97_controls_lfe[1], ac97))) < 0)
1373                         return err;
1374                 snd_ac97_change_volume_params2(ac97, AC97_CENTER_LFE_MASTER, 8, &max);
1375                 kctl->private_value &= ~(0xff << 16);
1376                 kctl->private_value |= (int)max << 16;
1377                 set_tlv_db_scale(kctl, find_db_scale(max));
1378                 snd_ac97_write_cache(ac97, AC97_CENTER_LFE_MASTER, ac97->regs[AC97_CENTER_LFE_MASTER] | max << 8);
1379         }
1380
1381         /* build surround controls */
1382         if ((snd_ac97_try_volume_mix(ac97, AC97_SURROUND_MASTER)) 
1383                 && !(ac97->flags & AC97_AD_MULTI)) {
1384                 /* Surround Master (0x38) is with stereo mutes */
1385                 if ((err = snd_ac97_cmix_new_stereo(card, "Surround Playback",
1386                                                     AC97_SURROUND_MASTER, 1, 0,
1387                                                     ac97)) < 0)
1388                         return err;
1389         }
1390
1391         /* build headphone controls */
1392         if (snd_ac97_try_volume_mix(ac97, AC97_HEADPHONE)) {
1393                 if ((err = snd_ac97_cmix_new(card, "Headphone Playback",
1394                                              AC97_HEADPHONE, 0, ac97)) < 0)
1395                         return err;
1396         }
1397         
1398         /* build master mono controls */
1399         if (snd_ac97_try_volume_mix(ac97, AC97_MASTER_MONO)) {
1400                 if ((err = snd_ac97_cmix_new(card, "Master Mono Playback",
1401                                              AC97_MASTER_MONO, 0, ac97)) < 0)
1402                         return err;
1403         }
1404         
1405         /* build master tone controls */
1406         if (!(ac97->flags & AC97_HAS_NO_TONE)) {
1407                 if (snd_ac97_try_volume_mix(ac97, AC97_MASTER_TONE)) {
1408                         for (idx = 0; idx < 2; idx++) {
1409                                 if ((err = snd_ctl_add(card, kctl = snd_ac97_cnew(&snd_ac97_controls_tone[idx], ac97))) < 0)
1410                                         return err;
1411                                 if (ac97->id == AC97_ID_YMF743 ||
1412                                     ac97->id == AC97_ID_YMF753) {
1413                                         kctl->private_value &= ~(0xff << 16);
1414                                         kctl->private_value |= 7 << 16;
1415                                 }
1416                         }
1417                         snd_ac97_write_cache(ac97, AC97_MASTER_TONE, 0x0f0f);
1418                 }
1419         }
1420         
1421         /* build Beep controls */
1422         if (!(ac97->flags & AC97_HAS_NO_PC_BEEP) && 
1423                 ((ac97->flags & AC97_HAS_PC_BEEP) ||
1424             snd_ac97_try_volume_mix(ac97, AC97_PC_BEEP))) {
1425                 for (idx = 0; idx < 2; idx++)
1426                         if ((err = snd_ctl_add(card, kctl = snd_ac97_cnew(&snd_ac97_controls_pc_beep[idx], ac97))) < 0)
1427                                 return err;
1428                 set_tlv_db_scale(kctl, db_scale_4bit);
1429                 snd_ac97_write_cache(
1430                         ac97,
1431                         AC97_PC_BEEP,
1432                         (snd_ac97_read(ac97, AC97_PC_BEEP)
1433                                 | AC97_MUTE_MASK_MONO | 0x001e)
1434                 );
1435         }
1436         
1437         /* build Phone controls */
1438         if (!(ac97->flags & AC97_HAS_NO_PHONE)) {
1439                 if (snd_ac97_try_volume_mix(ac97, AC97_PHONE)) {
1440                         if ((err = snd_ac97_cmix_new(card, "Phone Playback",
1441                                                      AC97_PHONE, 1, ac97)) < 0)
1442                                 return err;
1443                 }
1444         }
1445         
1446         /* build MIC controls */
1447         if (!(ac97->flags & AC97_HAS_NO_MIC)) {
1448                 if (snd_ac97_try_volume_mix(ac97, AC97_MIC)) {
1449                         if ((err = snd_ac97_cmix_new(card, "Mic Playback",
1450                                                      AC97_MIC, 1, ac97)) < 0)
1451                                 return err;
1452                         if ((err = snd_ctl_add(card, snd_ac97_cnew(&snd_ac97_controls_mic_boost, ac97))) < 0)
1453                                 return err;
1454                 }
1455         }
1456
1457         /* build Line controls */
1458         if (snd_ac97_try_volume_mix(ac97, AC97_LINE)) {
1459                 if ((err = snd_ac97_cmix_new(card, "Line Playback",
1460                                              AC97_LINE, 1, ac97)) < 0)
1461                         return err;
1462         }
1463         
1464         /* build CD controls */
1465         if (!(ac97->flags & AC97_HAS_NO_CD)) {
1466                 if (snd_ac97_try_volume_mix(ac97, AC97_CD)) {
1467                         if ((err = snd_ac97_cmix_new(card, "CD Playback",
1468                                                      AC97_CD, 1, ac97)) < 0)
1469                                 return err;
1470                 }
1471         }
1472         
1473         /* build Video controls */
1474         if (!(ac97->flags & AC97_HAS_NO_VIDEO)) {
1475                 if (snd_ac97_try_volume_mix(ac97, AC97_VIDEO)) {
1476                         if ((err = snd_ac97_cmix_new(card, "Video Playback",
1477                                                      AC97_VIDEO, 1, ac97)) < 0)
1478                                 return err;
1479                 }
1480         }
1481
1482         /* build Aux controls */
1483         if (!(ac97->flags & AC97_HAS_NO_AUX)) {
1484                 if (snd_ac97_try_volume_mix(ac97, AC97_AUX)) {
1485                         if ((err = snd_ac97_cmix_new(card, "Aux Playback",
1486                                                      AC97_AUX, 1, ac97)) < 0)
1487                                 return err;
1488                 }
1489         }
1490
1491         /* build PCM controls */
1492         if (ac97->flags & AC97_AD_MULTI) {
1493                 unsigned short init_val;
1494                 if (ac97->flags & AC97_STEREO_MUTES)
1495                         init_val = 0x9f9f;
1496                 else
1497                         init_val = 0x9f1f;
1498                 for (idx = 0; idx < 2; idx++)
1499                         if ((err = snd_ctl_add(card, kctl = snd_ac97_cnew(&snd_ac97_controls_ad18xx_pcm[idx], ac97))) < 0)
1500                                 return err;
1501                 set_tlv_db_scale(kctl, db_scale_5bit);
1502                 ac97->spec.ad18xx.pcmreg[0] = init_val;
1503                 if (ac97->scaps & AC97_SCAP_SURROUND_DAC) {
1504                         for (idx = 0; idx < 2; idx++)
1505                                 if ((err = snd_ctl_add(card, kctl = snd_ac97_cnew(&snd_ac97_controls_ad18xx_surround[idx], ac97))) < 0)
1506                                         return err;
1507                         set_tlv_db_scale(kctl, db_scale_5bit);
1508                         ac97->spec.ad18xx.pcmreg[1] = init_val;
1509                 }
1510                 if (ac97->scaps & AC97_SCAP_CENTER_LFE_DAC) {
1511                         for (idx = 0; idx < 2; idx++)
1512                                 if ((err = snd_ctl_add(card, kctl = snd_ac97_cnew(&snd_ac97_controls_ad18xx_center[idx], ac97))) < 0)
1513                                         return err;
1514                         set_tlv_db_scale(kctl, db_scale_5bit);
1515                         for (idx = 0; idx < 2; idx++)
1516                                 if ((err = snd_ctl_add(card, kctl = snd_ac97_cnew(&snd_ac97_controls_ad18xx_lfe[idx], ac97))) < 0)
1517                                         return err;
1518                         set_tlv_db_scale(kctl, db_scale_5bit);
1519                         ac97->spec.ad18xx.pcmreg[2] = init_val;
1520                 }
1521                 snd_ac97_write_cache(ac97, AC97_PCM, init_val);
1522         } else {
1523                 if (!(ac97->flags & AC97_HAS_NO_STD_PCM)) {
1524                         if (ac97->flags & AC97_HAS_NO_PCM_VOL)
1525                                 err = snd_ac97_cmute_new(card,
1526                                                          "PCM Playback Switch",
1527                                                          AC97_PCM, 0, ac97);
1528                         else
1529                                 err = snd_ac97_cmix_new(card, "PCM Playback",
1530                                                         AC97_PCM, 0, ac97);
1531                         if (err < 0)
1532                                 return err;
1533                 }
1534         }
1535
1536         /* build Capture controls */
1537         if (!(ac97->flags & AC97_HAS_NO_REC_GAIN)) {
1538                 if ((err = snd_ctl_add(card, snd_ac97_cnew(&snd_ac97_control_capture_src, ac97))) < 0)
1539                         return err;
1540                 if (snd_ac97_try_bit(ac97, AC97_REC_GAIN, 15)) {
1541                         err = snd_ac97_cmute_new(card, "Capture Switch",
1542                                                  AC97_REC_GAIN, 0, ac97);
1543                         if (err < 0)
1544                                 return err;
1545                 }
1546                 if ((err = snd_ctl_add(card, kctl = snd_ac97_cnew(&snd_ac97_control_capture_vol, ac97))) < 0)
1547                         return err;
1548                 set_tlv_db_scale(kctl, db_scale_rec_gain);
1549                 snd_ac97_write_cache(ac97, AC97_REC_SEL, 0x0000);
1550                 snd_ac97_write_cache(ac97, AC97_REC_GAIN, 0x0000);
1551         }
1552         /* build MIC Capture controls */
1553         if (snd_ac97_try_volume_mix(ac97, AC97_REC_GAIN_MIC)) {
1554                 for (idx = 0; idx < 2; idx++)
1555                         if ((err = snd_ctl_add(card, kctl = snd_ac97_cnew(&snd_ac97_controls_mic_capture[idx], ac97))) < 0)
1556                                 return err;
1557                 set_tlv_db_scale(kctl, db_scale_rec_gain);
1558                 snd_ac97_write_cache(ac97, AC97_REC_GAIN_MIC, 0x0000);
1559         }
1560
1561         /* build PCM out path & mute control */
1562         if (snd_ac97_try_bit(ac97, AC97_GENERAL_PURPOSE, 15)) {
1563                 if ((err = snd_ctl_add(card, snd_ac97_cnew(&snd_ac97_controls_general[AC97_GENERAL_PCM_OUT], ac97))) < 0)
1564                         return err;
1565         }
1566
1567         /* build Simulated Stereo Enhancement control */
1568         if (ac97->caps & AC97_BC_SIM_STEREO) {
1569                 if ((err = snd_ctl_add(card, snd_ac97_cnew(&snd_ac97_controls_general[AC97_GENERAL_STEREO_ENHANCEMENT], ac97))) < 0)
1570                         return err;
1571         }
1572
1573         /* build 3D Stereo Enhancement control */
1574         if (snd_ac97_try_bit(ac97, AC97_GENERAL_PURPOSE, 13)) {
1575                 if ((err = snd_ctl_add(card, snd_ac97_cnew(&snd_ac97_controls_general[AC97_GENERAL_3D], ac97))) < 0)
1576                         return err;
1577         }
1578
1579         /* build Loudness control */
1580         if (ac97->caps & AC97_BC_LOUDNESS) {
1581                 if ((err = snd_ctl_add(card, snd_ac97_cnew(&snd_ac97_controls_general[AC97_GENERAL_LOUDNESS], ac97))) < 0)
1582                         return err;
1583         }
1584
1585         /* build Mono output select control */
1586         if (snd_ac97_try_bit(ac97, AC97_GENERAL_PURPOSE, 9)) {
1587                 if ((err = snd_ctl_add(card, snd_ac97_cnew(&snd_ac97_controls_general[AC97_GENERAL_MONO], ac97))) < 0)
1588                         return err;
1589         }
1590
1591         /* build Mic select control */
1592         if (snd_ac97_try_bit(ac97, AC97_GENERAL_PURPOSE, 8)) {
1593                 if ((err = snd_ctl_add(card, snd_ac97_cnew(&snd_ac97_controls_general[AC97_GENERAL_MIC], ac97))) < 0)
1594                         return err;
1595         }
1596
1597         /* build ADC/DAC loopback control */
1598         if (enable_loopback && snd_ac97_try_bit(ac97, AC97_GENERAL_PURPOSE, 7)) {
1599                 if ((err = snd_ctl_add(card, snd_ac97_cnew(&snd_ac97_controls_general[AC97_GENERAL_LOOPBACK], ac97))) < 0)
1600                         return err;
1601         }
1602
1603         snd_ac97_update_bits(ac97, AC97_GENERAL_PURPOSE, ~AC97_GP_DRSS_MASK, 0x0000);
1604
1605         /* build 3D controls */
1606         if (ac97->build_ops->build_3d) {
1607                 ac97->build_ops->build_3d(ac97);
1608         } else {
1609                 if (snd_ac97_try_volume_mix(ac97, AC97_3D_CONTROL)) {
1610                         unsigned short val;
1611                         val = 0x0707;
1612                         snd_ac97_write(ac97, AC97_3D_CONTROL, val);
1613                         val = snd_ac97_read(ac97, AC97_3D_CONTROL);
1614                         val = val == 0x0606;
1615                         if ((err = snd_ctl_add(card, kctl = snd_ac97_cnew(&snd_ac97_controls_3d[0], ac97))) < 0)
1616                                 return err;
1617                         if (val)
1618                                 kctl->private_value = AC97_3D_CONTROL | (9 << 8) | (7 << 16);
1619                         if ((err = snd_ctl_add(card, kctl = snd_ac97_cnew(&snd_ac97_controls_3d[1], ac97))) < 0)
1620                                 return err;
1621                         if (val)
1622                                 kctl->private_value = AC97_3D_CONTROL | (1 << 8) | (7 << 16);
1623                         snd_ac97_write_cache(ac97, AC97_3D_CONTROL, 0x0000);
1624                 }
1625         }
1626
1627         /* build S/PDIF controls */
1628
1629         /* Hack for ASUS P5P800-VM, which does not indicate S/PDIF capability */
1630         if (ac97->subsystem_vendor == 0x1043 &&
1631             ac97->subsystem_device == 0x810f)
1632                 ac97->ext_id |= AC97_EI_SPDIF;
1633
1634         if ((ac97->ext_id & AC97_EI_SPDIF) && !(ac97->scaps & AC97_SCAP_NO_SPDIF)) {
1635                 if (ac97->build_ops->build_spdif) {
1636                         if ((err = ac97->build_ops->build_spdif(ac97)) < 0)
1637                                 return err;
1638                 } else {
1639                         for (idx = 0; idx < 5; idx++)
1640                                 if ((err = snd_ctl_add(card, snd_ac97_cnew(&snd_ac97_controls_spdif[idx], ac97))) < 0)
1641                                         return err;
1642                         if (ac97->build_ops->build_post_spdif) {
1643                                 if ((err = ac97->build_ops->build_post_spdif(ac97)) < 0)
1644                                         return err;
1645                         }
1646                         /* set default PCM S/PDIF params */
1647                         /* consumer,PCM audio,no copyright,no preemphasis,PCM coder,original,48000Hz */
1648                         snd_ac97_write_cache(ac97, AC97_SPDIF, 0x2a20);
1649                         ac97->rates[AC97_RATES_SPDIF] = snd_ac97_determine_spdif_rates(ac97);
1650                 }
1651                 ac97->spdif_status = SNDRV_PCM_DEFAULT_CON_SPDIF;
1652         }
1653         
1654         /* build chip specific controls */
1655         if (ac97->build_ops->build_specific)
1656                 if ((err = ac97->build_ops->build_specific(ac97)) < 0)
1657                         return err;
1658
1659         if (snd_ac97_try_bit(ac97, AC97_POWERDOWN, 15)) {
1660                 kctl = snd_ac97_cnew(&snd_ac97_control_eapd, ac97);
1661                 if (! kctl)
1662                         return -ENOMEM;
1663                 if (ac97->scaps & AC97_SCAP_INV_EAPD)
1664                         set_inv_eapd(ac97, kctl);
1665                 if ((err = snd_ctl_add(card, kctl)) < 0)
1666                         return err;
1667         }
1668
1669         return 0;
1670 }
1671
1672 static int snd_ac97_modem_build(struct snd_card *card, struct snd_ac97 * ac97)
1673 {
1674         int err, idx;
1675
1676         /*
1677         ac97_dbg(ac97, "AC97_GPIO_CFG = %x\n",
1678                snd_ac97_read(ac97,AC97_GPIO_CFG));
1679         */
1680         snd_ac97_write(ac97, AC97_GPIO_CFG, 0xffff & ~(AC97_GPIO_LINE1_OH));
1681         snd_ac97_write(ac97, AC97_GPIO_POLARITY, 0xffff & ~(AC97_GPIO_LINE1_OH));
1682         snd_ac97_write(ac97, AC97_GPIO_STICKY, 0xffff);
1683         snd_ac97_write(ac97, AC97_GPIO_WAKEUP, 0x0);
1684         snd_ac97_write(ac97, AC97_MISC_AFE, 0x0);
1685
1686         /* build modem switches */
1687         for (idx = 0; idx < ARRAY_SIZE(snd_ac97_controls_modem_switches); idx++)
1688                 if ((err = snd_ctl_add(card, snd_ctl_new1(&snd_ac97_controls_modem_switches[idx], ac97))) < 0)
1689                         return err;
1690
1691         /* build chip specific controls */
1692         if (ac97->build_ops->build_specific)
1693                 if ((err = ac97->build_ops->build_specific(ac97)) < 0)
1694                         return err;
1695
1696         return 0;
1697 }
1698
1699 static int snd_ac97_test_rate(struct snd_ac97 *ac97, int reg, int shadow_reg, int rate)
1700 {
1701         unsigned short val;
1702         unsigned int tmp;
1703
1704         tmp = ((unsigned int)rate * ac97->bus->clock) / 48000;
1705         snd_ac97_write_cache(ac97, reg, tmp & 0xffff);
1706         if (shadow_reg)
1707                 snd_ac97_write_cache(ac97, shadow_reg, tmp & 0xffff);
1708         val = snd_ac97_read(ac97, reg);
1709         return val == (tmp & 0xffff);
1710 }
1711
1712 static void snd_ac97_determine_rates(struct snd_ac97 *ac97, int reg, int shadow_reg, unsigned int *r_result)
1713 {
1714         unsigned int result = 0;
1715         unsigned short saved;
1716
1717         if (ac97->bus->no_vra) {
1718                 *r_result = SNDRV_PCM_RATE_48000;
1719                 if ((ac97->flags & AC97_DOUBLE_RATE) &&
1720                     reg == AC97_PCM_FRONT_DAC_RATE)
1721                         *r_result |= SNDRV_PCM_RATE_96000;
1722                 return;
1723         }
1724
1725         saved = snd_ac97_read(ac97, reg);
1726         if ((ac97->ext_id & AC97_EI_DRA) && reg == AC97_PCM_FRONT_DAC_RATE)
1727                 snd_ac97_update_bits(ac97, AC97_EXTENDED_STATUS,
1728                                      AC97_EA_DRA, 0);
1729         /* test a non-standard rate */
1730         if (snd_ac97_test_rate(ac97, reg, shadow_reg, 11000))
1731                 result |= SNDRV_PCM_RATE_CONTINUOUS;
1732         /* let's try to obtain standard rates */
1733         if (snd_ac97_test_rate(ac97, reg, shadow_reg, 8000))
1734                 result |= SNDRV_PCM_RATE_8000;
1735         if (snd_ac97_test_rate(ac97, reg, shadow_reg, 11025))
1736                 result |= SNDRV_PCM_RATE_11025;
1737         if (snd_ac97_test_rate(ac97, reg, shadow_reg, 16000))
1738                 result |= SNDRV_PCM_RATE_16000;
1739         if (snd_ac97_test_rate(ac97, reg, shadow_reg, 22050))
1740                 result |= SNDRV_PCM_RATE_22050;
1741         if (snd_ac97_test_rate(ac97, reg, shadow_reg, 32000))
1742                 result |= SNDRV_PCM_RATE_32000;
1743         if (snd_ac97_test_rate(ac97, reg, shadow_reg, 44100))
1744                 result |= SNDRV_PCM_RATE_44100;
1745         if (snd_ac97_test_rate(ac97, reg, shadow_reg, 48000))
1746                 result |= SNDRV_PCM_RATE_48000;
1747         if ((ac97->flags & AC97_DOUBLE_RATE) &&
1748             reg == AC97_PCM_FRONT_DAC_RATE) {
1749                 /* test standard double rates */
1750                 snd_ac97_update_bits(ac97, AC97_EXTENDED_STATUS,
1751                                      AC97_EA_DRA, AC97_EA_DRA);
1752                 if (snd_ac97_test_rate(ac97, reg, shadow_reg, 64000 / 2))
1753                         result |= SNDRV_PCM_RATE_64000;
1754                 if (snd_ac97_test_rate(ac97, reg, shadow_reg, 88200 / 2))
1755                         result |= SNDRV_PCM_RATE_88200;
1756                 if (snd_ac97_test_rate(ac97, reg, shadow_reg, 96000 / 2))
1757                         result |= SNDRV_PCM_RATE_96000;
1758                 /* some codecs don't support variable double rates */
1759                 if (!snd_ac97_test_rate(ac97, reg, shadow_reg, 76100 / 2))
1760                         result &= ~SNDRV_PCM_RATE_CONTINUOUS;
1761                 snd_ac97_update_bits(ac97, AC97_EXTENDED_STATUS,
1762                                      AC97_EA_DRA, 0);
1763         }
1764         /* restore the default value */
1765         snd_ac97_write_cache(ac97, reg, saved);
1766         if (shadow_reg)
1767                 snd_ac97_write_cache(ac97, shadow_reg, saved);
1768         *r_result = result;
1769 }
1770
1771 /* check AC97_SPDIF register to accept which sample rates */
1772 static unsigned int snd_ac97_determine_spdif_rates(struct snd_ac97 *ac97)
1773 {
1774         unsigned int result = 0;
1775         int i;
1776         static unsigned short ctl_bits[] = {
1777                 AC97_SC_SPSR_44K, AC97_SC_SPSR_32K, AC97_SC_SPSR_48K
1778         };
1779         static unsigned int rate_bits[] = {
1780                 SNDRV_PCM_RATE_44100, SNDRV_PCM_RATE_32000, SNDRV_PCM_RATE_48000
1781         };
1782
1783         for (i = 0; i < (int)ARRAY_SIZE(ctl_bits); i++) {
1784                 snd_ac97_update_bits(ac97, AC97_SPDIF, AC97_SC_SPSR_MASK, ctl_bits[i]);
1785                 if ((snd_ac97_read(ac97, AC97_SPDIF) & AC97_SC_SPSR_MASK) == ctl_bits[i])
1786                         result |= rate_bits[i];
1787         }
1788         return result;
1789 }
1790
1791 /* look for the codec id table matching with the given id */
1792 static const struct ac97_codec_id *look_for_codec_id(const struct ac97_codec_id *table,
1793                                                      unsigned int id)
1794 {
1795         const struct ac97_codec_id *pid;
1796
1797         for (pid = table; pid->id; pid++)
1798                 if (pid->id == (id & pid->mask))
1799                         return pid;
1800         return NULL;
1801 }
1802
1803 void snd_ac97_get_name(struct snd_ac97 *ac97, unsigned int id, char *name, int modem)
1804 {
1805         const struct ac97_codec_id *pid;
1806
1807         sprintf(name, "0x%x %c%c%c", id,
1808                 printable(id >> 24),
1809                 printable(id >> 16),
1810                 printable(id >> 8));
1811         pid = look_for_codec_id(snd_ac97_codec_id_vendors, id);
1812         if (! pid)
1813                 return;
1814
1815         strcpy(name, pid->name);
1816         if (ac97 && pid->patch) {
1817                 if ((modem && (pid->flags & AC97_MODEM_PATCH)) ||
1818                     (! modem && ! (pid->flags & AC97_MODEM_PATCH)))
1819                         pid->patch(ac97);
1820         } 
1821
1822         pid = look_for_codec_id(snd_ac97_codec_ids, id);
1823         if (pid) {
1824                 strcat(name, " ");
1825                 strcat(name, pid->name);
1826                 if (pid->mask != 0xffffffff)
1827                         sprintf(name + strlen(name), " rev %d", id & ~pid->mask);
1828                 if (ac97 && pid->patch) {
1829                         if ((modem && (pid->flags & AC97_MODEM_PATCH)) ||
1830                             (! modem && ! (pid->flags & AC97_MODEM_PATCH)))
1831                                 pid->patch(ac97);
1832                 }
1833         } else
1834                 sprintf(name + strlen(name), " id %x", id & 0xff);
1835 }
1836
1837 /**
1838  * snd_ac97_get_short_name - retrieve codec name
1839  * @ac97: the codec instance
1840  *
1841  * Return: The short identifying name of the codec.
1842  */
1843 const char *snd_ac97_get_short_name(struct snd_ac97 *ac97)
1844 {
1845         const struct ac97_codec_id *pid;
1846
1847         for (pid = snd_ac97_codec_ids; pid->id; pid++)
1848                 if (pid->id == (ac97->id & pid->mask))
1849                         return pid->name;
1850         return "unknown codec";
1851 }
1852
1853 EXPORT_SYMBOL(snd_ac97_get_short_name);
1854
1855 /* wait for a while until registers are accessible after RESET
1856  * return 0 if ok, negative not ready
1857  */
1858 static int ac97_reset_wait(struct snd_ac97 *ac97, int timeout, int with_modem)
1859 {
1860         unsigned long end_time;
1861         unsigned short val;
1862
1863         end_time = jiffies + timeout;
1864         do {
1865                 
1866                 /* use preliminary reads to settle the communication */
1867                 snd_ac97_read(ac97, AC97_RESET);
1868                 snd_ac97_read(ac97, AC97_VENDOR_ID1);
1869                 snd_ac97_read(ac97, AC97_VENDOR_ID2);
1870                 /* modem? */
1871                 if (with_modem) {
1872                         val = snd_ac97_read(ac97, AC97_EXTENDED_MID);
1873                         if (val != 0xffff && (val & 1) != 0)
1874                                 return 0;
1875                 }
1876                 if (ac97->scaps & AC97_SCAP_DETECT_BY_VENDOR) {
1877                         /* probably only Xbox issue - all registers are read as zero */
1878                         val = snd_ac97_read(ac97, AC97_VENDOR_ID1);
1879                         if (val != 0 && val != 0xffff)
1880                                 return 0;
1881                 } else {
1882                         /* because the PCM or MASTER volume registers can be modified,
1883                          * the REC_GAIN register is used for tests
1884                          */
1885                         /* test if we can write to the record gain volume register */
1886                         snd_ac97_write_cache(ac97, AC97_REC_GAIN, 0x8a05);
1887                         if ((snd_ac97_read(ac97, AC97_REC_GAIN) & 0x7fff) == 0x0a05)
1888                                 return 0;
1889                 }
1890                 schedule_timeout_uninterruptible(1);
1891         } while (time_after_eq(end_time, jiffies));
1892         return -ENODEV;
1893 }
1894
1895 /**
1896  * snd_ac97_bus - create an AC97 bus component
1897  * @card: the card instance
1898  * @num: the bus number
1899  * @ops: the bus callbacks table
1900  * @private_data: private data pointer for the new instance
1901  * @rbus: the pointer to store the new AC97 bus instance.
1902  *
1903  * Creates an AC97 bus component.  An struct snd_ac97_bus instance is newly
1904  * allocated and initialized.
1905  *
1906  * The ops table must include valid callbacks (at least read and
1907  * write).  The other callbacks, wait and reset, are not mandatory.
1908  * 
1909  * The clock is set to 48000.  If another clock is needed, set
1910  * (*rbus)->clock manually.
1911  *
1912  * The AC97 bus instance is registered as a low-level device, so you don't
1913  * have to release it manually.
1914  *
1915  * Return: Zero if successful, or a negative error code on failure.
1916  */
1917 int snd_ac97_bus(struct snd_card *card, int num, struct snd_ac97_bus_ops *ops,
1918                  void *private_data, struct snd_ac97_bus **rbus)
1919 {
1920         int err;
1921         struct snd_ac97_bus *bus;
1922         static struct snd_device_ops dev_ops = {
1923                 .dev_free =     snd_ac97_bus_dev_free,
1924         };
1925
1926         if (snd_BUG_ON(!card))
1927                 return -EINVAL;
1928         bus = kzalloc(sizeof(*bus), GFP_KERNEL);
1929         if (bus == NULL)
1930                 return -ENOMEM;
1931         bus->card = card;
1932         bus->num = num;
1933         bus->ops = ops;
1934         bus->private_data = private_data;
1935         bus->clock = 48000;
1936         spin_lock_init(&bus->bus_lock);
1937         snd_ac97_bus_proc_init(bus);
1938         if ((err = snd_device_new(card, SNDRV_DEV_BUS, bus, &dev_ops)) < 0) {
1939                 snd_ac97_bus_free(bus);
1940                 return err;
1941         }
1942         if (rbus)
1943                 *rbus = bus;
1944         return 0;
1945 }
1946
1947 EXPORT_SYMBOL(snd_ac97_bus);
1948
1949 /* stop no dev release warning */
1950 static void ac97_device_release(struct device * dev)
1951 {
1952 }
1953
1954 /* register ac97 codec to bus */
1955 static int snd_ac97_dev_register(struct snd_device *device)
1956 {
1957         struct snd_ac97 *ac97 = device->device_data;
1958         int err;
1959
1960         ac97->dev.bus = &ac97_bus_type;
1961         ac97->dev.parent = ac97->bus->card->dev;
1962         ac97->dev.release = ac97_device_release;
1963         dev_set_name(&ac97->dev, "%d-%d:%s",
1964                      ac97->bus->card->number, ac97->num,
1965                      snd_ac97_get_short_name(ac97));
1966         if ((err = device_register(&ac97->dev)) < 0) {
1967                 ac97_err(ac97, "Can't register ac97 bus\n");
1968                 put_device(&ac97->dev);
1969                 ac97->dev.bus = NULL;
1970                 return err;
1971         }
1972         return 0;
1973 }
1974
1975 /* disconnect ac97 codec */
1976 static int snd_ac97_dev_disconnect(struct snd_device *device)
1977 {
1978         struct snd_ac97 *ac97 = device->device_data;
1979         if (ac97->dev.bus)
1980                 device_unregister(&ac97->dev);
1981         return 0;
1982 }
1983
1984 /* build_ops to do nothing */
1985 static const struct snd_ac97_build_ops null_build_ops;
1986
1987 #ifdef CONFIG_SND_AC97_POWER_SAVE
1988 static void do_update_power(struct work_struct *work)
1989 {
1990         update_power_regs(
1991                 container_of(work, struct snd_ac97, power_work.work));
1992 }
1993 #endif
1994
1995 /**
1996  * snd_ac97_mixer - create an Codec97 component
1997  * @bus: the AC97 bus which codec is attached to
1998  * @template: the template of ac97, including index, callbacks and
1999  *         the private data.
2000  * @rac97: the pointer to store the new ac97 instance.
2001  *
2002  * Creates an Codec97 component.  An struct snd_ac97 instance is newly
2003  * allocated and initialized from the template.  The codec
2004  * is then initialized by the standard procedure.
2005  *
2006  * The template must include the codec number (num) and address (addr),
2007  * and the private data (private_data).
2008  * 
2009  * The ac97 instance is registered as a low-level device, so you don't
2010  * have to release it manually.
2011  *
2012  * Return: Zero if successful, or a negative error code on failure.
2013  */
2014 int snd_ac97_mixer(struct snd_ac97_bus *bus, struct snd_ac97_template *template, struct snd_ac97 **rac97)
2015 {
2016         int err;
2017         struct snd_ac97 *ac97;
2018         struct snd_card *card;
2019         char name[64];
2020         unsigned long end_time;
2021         unsigned int reg;
2022         const struct ac97_codec_id *pid;
2023         static struct snd_device_ops ops = {
2024                 .dev_free =     snd_ac97_dev_free,
2025                 .dev_register = snd_ac97_dev_register,
2026                 .dev_disconnect =       snd_ac97_dev_disconnect,
2027         };
2028
2029         if (rac97)
2030                 *rac97 = NULL;
2031         if (snd_BUG_ON(!bus || !template))
2032                 return -EINVAL;
2033         if (snd_BUG_ON(template->num >= 4))
2034                 return -EINVAL;
2035         if (bus->codec[template->num])
2036                 return -EBUSY;
2037
2038         card = bus->card;
2039         ac97 = kzalloc(sizeof(*ac97), GFP_KERNEL);
2040         if (ac97 == NULL)
2041                 return -ENOMEM;
2042         ac97->private_data = template->private_data;
2043         ac97->private_free = template->private_free;
2044         ac97->bus = bus;
2045         ac97->pci = template->pci;
2046         ac97->num = template->num;
2047         ac97->addr = template->addr;
2048         ac97->scaps = template->scaps;
2049         ac97->res_table = template->res_table;
2050         bus->codec[ac97->num] = ac97;
2051         mutex_init(&ac97->reg_mutex);
2052         mutex_init(&ac97->page_mutex);
2053 #ifdef CONFIG_SND_AC97_POWER_SAVE
2054         INIT_DELAYED_WORK(&ac97->power_work, do_update_power);
2055 #endif
2056
2057 #ifdef CONFIG_PCI
2058         if (ac97->pci) {
2059                 pci_read_config_word(ac97->pci, PCI_SUBSYSTEM_VENDOR_ID, &ac97->subsystem_vendor);
2060                 pci_read_config_word(ac97->pci, PCI_SUBSYSTEM_ID, &ac97->subsystem_device);
2061         }
2062 #endif
2063         if (bus->ops->reset) {
2064                 bus->ops->reset(ac97);
2065                 goto __access_ok;
2066         }
2067
2068         ac97->id = snd_ac97_read(ac97, AC97_VENDOR_ID1) << 16;
2069         ac97->id |= snd_ac97_read(ac97, AC97_VENDOR_ID2);
2070         if (ac97->id && ac97->id != (unsigned int)-1) {
2071                 pid = look_for_codec_id(snd_ac97_codec_ids, ac97->id);
2072                 if (pid && (pid->flags & AC97_DEFAULT_POWER_OFF))
2073                         goto __access_ok;
2074         }
2075
2076         /* reset to defaults */
2077         if (!(ac97->scaps & AC97_SCAP_SKIP_AUDIO))
2078                 snd_ac97_write(ac97, AC97_RESET, 0);
2079         if (!(ac97->scaps & AC97_SCAP_SKIP_MODEM))
2080                 snd_ac97_write(ac97, AC97_EXTENDED_MID, 0);
2081         if (bus->ops->wait)
2082                 bus->ops->wait(ac97);
2083         else {
2084                 udelay(50);
2085                 if (ac97->scaps & AC97_SCAP_SKIP_AUDIO)
2086                         err = ac97_reset_wait(ac97, msecs_to_jiffies(500), 1);
2087                 else {
2088                         err = ac97_reset_wait(ac97, msecs_to_jiffies(500), 0);
2089                         if (err < 0)
2090                                 err = ac97_reset_wait(ac97,
2091                                                       msecs_to_jiffies(500), 1);
2092                 }
2093                 if (err < 0) {
2094                         ac97_warn(ac97, "AC'97 %d does not respond - RESET\n",
2095                                  ac97->num);
2096                         /* proceed anyway - it's often non-critical */
2097                 }
2098         }
2099       __access_ok:
2100         ac97->id = snd_ac97_read(ac97, AC97_VENDOR_ID1) << 16;
2101         ac97->id |= snd_ac97_read(ac97, AC97_VENDOR_ID2);
2102         if (! (ac97->scaps & AC97_SCAP_DETECT_BY_VENDOR) &&
2103             (ac97->id == 0x00000000 || ac97->id == 0xffffffff)) {
2104                 ac97_err(ac97,
2105                          "AC'97 %d access is not valid [0x%x], removing mixer.\n",
2106                          ac97->num, ac97->id);
2107                 snd_ac97_free(ac97);
2108                 return -EIO;
2109         }
2110         pid = look_for_codec_id(snd_ac97_codec_ids, ac97->id);
2111         if (pid)
2112                 ac97->flags |= pid->flags;
2113         
2114         /* test for AC'97 */
2115         if (!(ac97->scaps & AC97_SCAP_SKIP_AUDIO) && !(ac97->scaps & AC97_SCAP_AUDIO)) {
2116                 /* test if we can write to the record gain volume register */
2117                 snd_ac97_write_cache(ac97, AC97_REC_GAIN, 0x8a06);
2118                 if (((err = snd_ac97_read(ac97, AC97_REC_GAIN)) & 0x7fff) == 0x0a06)
2119                         ac97->scaps |= AC97_SCAP_AUDIO;
2120         }
2121         if (ac97->scaps & AC97_SCAP_AUDIO) {
2122                 ac97->caps = snd_ac97_read(ac97, AC97_RESET);
2123                 ac97->ext_id = snd_ac97_read(ac97, AC97_EXTENDED_ID);
2124                 if (ac97->ext_id == 0xffff)     /* invalid combination */
2125                         ac97->ext_id = 0;
2126         }
2127
2128         /* test for MC'97 */
2129         if (!(ac97->scaps & AC97_SCAP_SKIP_MODEM) && !(ac97->scaps & AC97_SCAP_MODEM)) {
2130                 ac97->ext_mid = snd_ac97_read(ac97, AC97_EXTENDED_MID);
2131                 if (ac97->ext_mid == 0xffff)    /* invalid combination */
2132                         ac97->ext_mid = 0;
2133                 if (ac97->ext_mid & 1)
2134                         ac97->scaps |= AC97_SCAP_MODEM;
2135         }
2136
2137         if (!ac97_is_audio(ac97) && !ac97_is_modem(ac97)) {
2138                 if (!(ac97->scaps & (AC97_SCAP_SKIP_AUDIO|AC97_SCAP_SKIP_MODEM)))
2139                         ac97_err(ac97,
2140                                  "AC'97 %d access error (not audio or modem codec)\n",
2141                                  ac97->num);
2142                 snd_ac97_free(ac97);
2143                 return -EACCES;
2144         }
2145
2146         if (bus->ops->reset) // FIXME: always skipping?
2147                 goto __ready_ok;
2148
2149         /* FIXME: add powerdown control */
2150         if (ac97_is_audio(ac97)) {
2151                 /* nothing should be in powerdown mode */
2152                 snd_ac97_write_cache(ac97, AC97_POWERDOWN, 0);
2153                 if (! (ac97->flags & AC97_DEFAULT_POWER_OFF)) {
2154                         snd_ac97_write_cache(ac97, AC97_RESET, 0); /* reset to defaults */
2155                         udelay(100);
2156                         snd_ac97_write_cache(ac97, AC97_POWERDOWN, 0);
2157                 }
2158                 /* nothing should be in powerdown mode */
2159                 snd_ac97_write_cache(ac97, AC97_GENERAL_PURPOSE, 0);
2160                 end_time = jiffies + msecs_to_jiffies(5000);
2161                 do {
2162                         if ((snd_ac97_read(ac97, AC97_POWERDOWN) & 0x0f) == 0x0f)
2163                                 goto __ready_ok;
2164                         schedule_timeout_uninterruptible(1);
2165                 } while (time_after_eq(end_time, jiffies));
2166                 ac97_warn(ac97,
2167                           "AC'97 %d analog subsections not ready\n", ac97->num);
2168         }
2169
2170         /* FIXME: add powerdown control */
2171         if (ac97_is_modem(ac97)) {
2172                 unsigned char tmp;
2173
2174                 /* nothing should be in powerdown mode */
2175                 /* note: it's important to set the rate at first */
2176                 tmp = AC97_MEA_GPIO;
2177                 if (ac97->ext_mid & AC97_MEI_LINE1) {
2178                         snd_ac97_write_cache(ac97, AC97_LINE1_RATE, 8000);
2179                         tmp |= AC97_MEA_ADC1 | AC97_MEA_DAC1;
2180                 }
2181                 if (ac97->ext_mid & AC97_MEI_LINE2) {
2182                         snd_ac97_write_cache(ac97, AC97_LINE2_RATE, 8000);
2183                         tmp |= AC97_MEA_ADC2 | AC97_MEA_DAC2;
2184                 }
2185                 if (ac97->ext_mid & AC97_MEI_HANDSET) {
2186                         snd_ac97_write_cache(ac97, AC97_HANDSET_RATE, 8000);
2187                         tmp |= AC97_MEA_HADC | AC97_MEA_HDAC;
2188                 }
2189                 snd_ac97_write_cache(ac97, AC97_EXTENDED_MSTATUS, 0);
2190                 udelay(100);
2191                 /* nothing should be in powerdown mode */
2192                 snd_ac97_write_cache(ac97, AC97_EXTENDED_MSTATUS, 0);
2193                 end_time = jiffies + msecs_to_jiffies(100);
2194                 do {
2195                         if ((snd_ac97_read(ac97, AC97_EXTENDED_MSTATUS) & tmp) == tmp)
2196                                 goto __ready_ok;
2197                         schedule_timeout_uninterruptible(1);
2198                 } while (time_after_eq(end_time, jiffies));
2199                 ac97_warn(ac97,
2200                           "MC'97 %d converters and GPIO not ready (0x%x)\n",
2201                           ac97->num,
2202                           snd_ac97_read(ac97, AC97_EXTENDED_MSTATUS));
2203         }
2204         
2205       __ready_ok:
2206         if (ac97_is_audio(ac97))
2207                 ac97->addr = (ac97->ext_id & AC97_EI_ADDR_MASK) >> AC97_EI_ADDR_SHIFT;
2208         else
2209                 ac97->addr = (ac97->ext_mid & AC97_MEI_ADDR_MASK) >> AC97_MEI_ADDR_SHIFT;
2210         if (ac97->ext_id & 0x01c9) {    /* L/R, MIC, SDAC, LDAC VRA support */
2211                 reg = snd_ac97_read(ac97, AC97_EXTENDED_STATUS);
2212                 reg |= ac97->ext_id & 0x01c0; /* LDAC/SDAC/CDAC */
2213                 if (! bus->no_vra)
2214                         reg |= ac97->ext_id & 0x0009; /* VRA/VRM */
2215                 snd_ac97_write_cache(ac97, AC97_EXTENDED_STATUS, reg);
2216         }
2217         if ((ac97->ext_id & AC97_EI_DRA) && bus->dra) {
2218                 /* Intel controllers require double rate data to be put in
2219                  * slots 7+8, so let's hope the codec supports it. */
2220                 snd_ac97_update_bits(ac97, AC97_GENERAL_PURPOSE, AC97_GP_DRSS_MASK, AC97_GP_DRSS_78);
2221                 if ((snd_ac97_read(ac97, AC97_GENERAL_PURPOSE) & AC97_GP_DRSS_MASK) == AC97_GP_DRSS_78)
2222                         ac97->flags |= AC97_DOUBLE_RATE;
2223                 /* restore to slots 10/11 to avoid the confliction with surrounds */
2224                 snd_ac97_update_bits(ac97, AC97_GENERAL_PURPOSE, AC97_GP_DRSS_MASK, 0);
2225         }
2226         if (ac97->ext_id & AC97_EI_VRA) {       /* VRA support */
2227                 snd_ac97_determine_rates(ac97, AC97_PCM_FRONT_DAC_RATE, 0, &ac97->rates[AC97_RATES_FRONT_DAC]);
2228                 snd_ac97_determine_rates(ac97, AC97_PCM_LR_ADC_RATE, 0, &ac97->rates[AC97_RATES_ADC]);
2229         } else {
2230                 ac97->rates[AC97_RATES_FRONT_DAC] = SNDRV_PCM_RATE_48000;
2231                 if (ac97->flags & AC97_DOUBLE_RATE)
2232                         ac97->rates[AC97_RATES_FRONT_DAC] |= SNDRV_PCM_RATE_96000;
2233                 ac97->rates[AC97_RATES_ADC] = SNDRV_PCM_RATE_48000;
2234         }
2235         if (ac97->ext_id & AC97_EI_SPDIF) {
2236                 /* codec specific code (patch) should override these values */
2237                 ac97->rates[AC97_RATES_SPDIF] = SNDRV_PCM_RATE_48000 | SNDRV_PCM_RATE_44100 | SNDRV_PCM_RATE_32000;
2238         }
2239         if (ac97->ext_id & AC97_EI_VRM) {       /* MIC VRA support */
2240                 snd_ac97_determine_rates(ac97, AC97_PCM_MIC_ADC_RATE, 0, &ac97->rates[AC97_RATES_MIC_ADC]);
2241         } else {
2242                 ac97->rates[AC97_RATES_MIC_ADC] = SNDRV_PCM_RATE_48000;
2243         }
2244         if (ac97->ext_id & AC97_EI_SDAC) {      /* SDAC support */
2245                 snd_ac97_determine_rates(ac97, AC97_PCM_SURR_DAC_RATE, AC97_PCM_FRONT_DAC_RATE, &ac97->rates[AC97_RATES_SURR_DAC]);
2246                 ac97->scaps |= AC97_SCAP_SURROUND_DAC;
2247         }
2248         if (ac97->ext_id & AC97_EI_LDAC) {      /* LDAC support */
2249                 snd_ac97_determine_rates(ac97, AC97_PCM_LFE_DAC_RATE, AC97_PCM_FRONT_DAC_RATE, &ac97->rates[AC97_RATES_LFE_DAC]);
2250                 ac97->scaps |= AC97_SCAP_CENTER_LFE_DAC;
2251         }
2252         /* additional initializations */
2253         if (bus->ops->init)
2254                 bus->ops->init(ac97);
2255         snd_ac97_get_name(ac97, ac97->id, name, !ac97_is_audio(ac97));
2256         snd_ac97_get_name(NULL, ac97->id, name, !ac97_is_audio(ac97));  // ac97->id might be changed in the special setup code
2257         if (! ac97->build_ops)
2258                 ac97->build_ops = &null_build_ops;
2259
2260         if (ac97_is_audio(ac97)) {
2261                 char comp[16];
2262                 if (card->mixername[0] == '\0') {
2263                         strcpy(card->mixername, name);
2264                 } else {
2265                         if (strlen(card->mixername) + 1 + strlen(name) + 1 <= sizeof(card->mixername)) {
2266                                 strcat(card->mixername, ",");
2267                                 strcat(card->mixername, name);
2268                         }
2269                 }
2270                 sprintf(comp, "AC97a:%08x", ac97->id);
2271                 if ((err = snd_component_add(card, comp)) < 0) {
2272                         snd_ac97_free(ac97);
2273                         return err;
2274                 }
2275                 if (snd_ac97_mixer_build(ac97) < 0) {
2276                         snd_ac97_free(ac97);
2277                         return -ENOMEM;
2278                 }
2279         }
2280         if (ac97_is_modem(ac97)) {
2281                 char comp[16];
2282                 if (card->mixername[0] == '\0') {
2283                         strcpy(card->mixername, name);
2284                 } else {
2285                         if (strlen(card->mixername) + 1 + strlen(name) + 1 <= sizeof(card->mixername)) {
2286                                 strcat(card->mixername, ",");
2287                                 strcat(card->mixername, name);
2288                         }
2289                 }
2290                 sprintf(comp, "AC97m:%08x", ac97->id);
2291                 if ((err = snd_component_add(card, comp)) < 0) {
2292                         snd_ac97_free(ac97);
2293                         return err;
2294                 }
2295                 if (snd_ac97_modem_build(card, ac97) < 0) {
2296                         snd_ac97_free(ac97);
2297                         return -ENOMEM;
2298                 }
2299         }
2300         if (ac97_is_audio(ac97))
2301                 update_power_regs(ac97);
2302         snd_ac97_proc_init(ac97);
2303         if ((err = snd_device_new(card, SNDRV_DEV_CODEC, ac97, &ops)) < 0) {
2304                 snd_ac97_free(ac97);
2305                 return err;
2306         }
2307         *rac97 = ac97;
2308         return 0;
2309 }
2310
2311 EXPORT_SYMBOL(snd_ac97_mixer);
2312
2313 /*
2314  * Power down the chip.
2315  *
2316  * MASTER and HEADPHONE registers are muted but the register cache values
2317  * are not changed, so that the values can be restored in snd_ac97_resume().
2318  */
2319 static void snd_ac97_powerdown(struct snd_ac97 *ac97)
2320 {
2321         unsigned short power;
2322
2323         if (ac97_is_audio(ac97)) {
2324                 /* some codecs have stereo mute bits */
2325                 snd_ac97_write(ac97, AC97_MASTER, 0x9f9f);
2326                 snd_ac97_write(ac97, AC97_HEADPHONE, 0x9f9f);
2327         }
2328
2329         /* surround, CLFE, mic powerdown */
2330         power = ac97->regs[AC97_EXTENDED_STATUS];
2331         if (ac97->scaps & AC97_SCAP_SURROUND_DAC)
2332                 power |= AC97_EA_PRJ;
2333         if (ac97->scaps & AC97_SCAP_CENTER_LFE_DAC)
2334                 power |= AC97_EA_PRI | AC97_EA_PRK;
2335         power |= AC97_EA_PRL;
2336         snd_ac97_write(ac97, AC97_EXTENDED_STATUS, power);
2337
2338         /* powerdown external amplifier */
2339         if (ac97->scaps & AC97_SCAP_INV_EAPD)
2340                 power = ac97->regs[AC97_POWERDOWN] & ~AC97_PD_EAPD;
2341         else if (! (ac97->scaps & AC97_SCAP_EAPD_LED))
2342                 power = ac97->regs[AC97_POWERDOWN] | AC97_PD_EAPD;
2343         power |= AC97_PD_PR6;   /* Headphone amplifier powerdown */
2344         power |= AC97_PD_PR0 | AC97_PD_PR1;     /* ADC & DAC powerdown */
2345         snd_ac97_write(ac97, AC97_POWERDOWN, power);
2346         udelay(100);
2347         power |= AC97_PD_PR2;   /* Analog Mixer powerdown (Vref on) */
2348         snd_ac97_write(ac97, AC97_POWERDOWN, power);
2349         if (ac97_is_power_save_mode(ac97)) {
2350                 power |= AC97_PD_PR3;   /* Analog Mixer powerdown */
2351                 snd_ac97_write(ac97, AC97_POWERDOWN, power);
2352                 udelay(100);
2353                 /* AC-link powerdown, internal Clk disable */
2354                 /* FIXME: this may cause click noises on some boards */
2355                 power |= AC97_PD_PR4 | AC97_PD_PR5;
2356                 snd_ac97_write(ac97, AC97_POWERDOWN, power);
2357         }
2358 }
2359
2360
2361 struct ac97_power_reg {
2362         unsigned short reg;
2363         unsigned short power_reg;
2364         unsigned short mask;
2365 };
2366
2367 enum { PWIDX_ADC, PWIDX_FRONT, PWIDX_CLFE, PWIDX_SURR, PWIDX_MIC, PWIDX_SIZE };
2368
2369 static struct ac97_power_reg power_regs[PWIDX_SIZE] = {
2370         [PWIDX_ADC] = { AC97_PCM_LR_ADC_RATE, AC97_POWERDOWN, AC97_PD_PR0},
2371         [PWIDX_FRONT] = { AC97_PCM_FRONT_DAC_RATE, AC97_POWERDOWN, AC97_PD_PR1},
2372         [PWIDX_CLFE] = { AC97_PCM_LFE_DAC_RATE, AC97_EXTENDED_STATUS,
2373                          AC97_EA_PRI | AC97_EA_PRK},
2374         [PWIDX_SURR] = { AC97_PCM_SURR_DAC_RATE, AC97_EXTENDED_STATUS,
2375                          AC97_EA_PRJ},
2376         [PWIDX_MIC] = { AC97_PCM_MIC_ADC_RATE, AC97_EXTENDED_STATUS,
2377                         AC97_EA_PRL},
2378 };
2379
2380 #ifdef CONFIG_SND_AC97_POWER_SAVE
2381 /**
2382  * snd_ac97_update_power - update the powerdown register
2383  * @ac97: the codec instance
2384  * @reg: the rate register, e.g. AC97_PCM_FRONT_DAC_RATE
2385  * @powerup: non-zero when power up the part
2386  *
2387  * Update the AC97 powerdown register bits of the given part.
2388  *
2389  * Return: Zero.
2390  */
2391 int snd_ac97_update_power(struct snd_ac97 *ac97, int reg, int powerup)
2392 {
2393         int i;
2394
2395         if (! ac97)
2396                 return 0;
2397
2398         if (reg) {
2399                 /* SPDIF requires DAC power, too */
2400                 if (reg == AC97_SPDIF)
2401                         reg = AC97_PCM_FRONT_DAC_RATE;
2402                 for (i = 0; i < PWIDX_SIZE; i++) {
2403                         if (power_regs[i].reg == reg) {
2404                                 if (powerup)
2405                                         ac97->power_up |= (1 << i);
2406                                 else
2407                                         ac97->power_up &= ~(1 << i);
2408                                 break;
2409                         }
2410                 }
2411         }
2412
2413         if (ac97_is_power_save_mode(ac97) && !powerup)
2414                 /* adjust power-down bits after two seconds delay
2415                  * (for avoiding loud click noises for many (OSS) apps
2416                  *  that open/close frequently)
2417                  */
2418                 schedule_delayed_work(&ac97->power_work,
2419                                       msecs_to_jiffies(power_save * 1000));
2420         else {
2421                 cancel_delayed_work(&ac97->power_work);
2422                 update_power_regs(ac97);
2423         }
2424
2425         return 0;
2426 }
2427
2428 EXPORT_SYMBOL(snd_ac97_update_power);
2429 #endif /* CONFIG_SND_AC97_POWER_SAVE */
2430
2431 static void update_power_regs(struct snd_ac97 *ac97)
2432 {
2433         unsigned int power_up, bits;
2434         int i;
2435
2436         power_up = (1 << PWIDX_FRONT) | (1 << PWIDX_ADC);
2437         power_up |= (1 << PWIDX_MIC);
2438         if (ac97->scaps & AC97_SCAP_SURROUND_DAC)
2439                 power_up |= (1 << PWIDX_SURR);
2440         if (ac97->scaps & AC97_SCAP_CENTER_LFE_DAC)
2441                 power_up |= (1 << PWIDX_CLFE);
2442 #ifdef CONFIG_SND_AC97_POWER_SAVE
2443         if (ac97_is_power_save_mode(ac97))
2444                 power_up = ac97->power_up;
2445 #endif
2446         if (power_up) {
2447                 if (ac97->regs[AC97_POWERDOWN] & AC97_PD_PR2) {
2448                         /* needs power-up analog mix and vref */
2449                         snd_ac97_update_bits(ac97, AC97_POWERDOWN,
2450                                              AC97_PD_PR3, 0);
2451                         msleep(1);
2452                         snd_ac97_update_bits(ac97, AC97_POWERDOWN,
2453                                              AC97_PD_PR2, 0);
2454                 }
2455         }
2456         for (i = 0; i < PWIDX_SIZE; i++) {
2457                 if (power_up & (1 << i))
2458                         bits = 0;
2459                 else
2460                         bits = power_regs[i].mask;
2461                 snd_ac97_update_bits(ac97, power_regs[i].power_reg,
2462                                      power_regs[i].mask, bits);
2463         }
2464         if (! power_up) {
2465                 if (! (ac97->regs[AC97_POWERDOWN] & AC97_PD_PR2)) {
2466                         /* power down analog mix and vref */
2467                         snd_ac97_update_bits(ac97, AC97_POWERDOWN,
2468                                              AC97_PD_PR2, AC97_PD_PR2);
2469                         snd_ac97_update_bits(ac97, AC97_POWERDOWN,
2470                                              AC97_PD_PR3, AC97_PD_PR3);
2471                 }
2472         }
2473 }
2474
2475
2476 #ifdef CONFIG_PM
2477 /**
2478  * snd_ac97_suspend - General suspend function for AC97 codec
2479  * @ac97: the ac97 instance
2480  *
2481  * Suspends the codec, power down the chip.
2482  */
2483 void snd_ac97_suspend(struct snd_ac97 *ac97)
2484 {
2485         if (! ac97)
2486                 return;
2487         if (ac97->build_ops->suspend)
2488                 ac97->build_ops->suspend(ac97);
2489 #ifdef CONFIG_SND_AC97_POWER_SAVE
2490         cancel_delayed_work_sync(&ac97->power_work);
2491 #endif
2492         snd_ac97_powerdown(ac97);
2493 }
2494
2495 EXPORT_SYMBOL(snd_ac97_suspend);
2496
2497 /*
2498  * restore ac97 status
2499  */
2500 static void snd_ac97_restore_status(struct snd_ac97 *ac97)
2501 {
2502         int i;
2503
2504         for (i = 2; i < 0x7c ; i += 2) {
2505                 if (i == AC97_POWERDOWN || i == AC97_EXTENDED_ID)
2506                         continue;
2507                 /* restore only accessible registers
2508                  * some chip (e.g. nm256) may hang up when unsupported registers
2509                  * are accessed..!
2510                  */
2511                 if (test_bit(i, ac97->reg_accessed)) {
2512                         snd_ac97_write(ac97, i, ac97->regs[i]);
2513                         snd_ac97_read(ac97, i);
2514                 }
2515         }
2516 }
2517
2518 /*
2519  * restore IEC958 status
2520  */
2521 static void snd_ac97_restore_iec958(struct snd_ac97 *ac97)
2522 {
2523         if (ac97->ext_id & AC97_EI_SPDIF) {
2524                 if (ac97->regs[AC97_EXTENDED_STATUS] & AC97_EA_SPDIF) {
2525                         /* reset spdif status */
2526                         snd_ac97_update_bits(ac97, AC97_EXTENDED_STATUS, AC97_EA_SPDIF, 0);
2527                         snd_ac97_write(ac97, AC97_EXTENDED_STATUS, ac97->regs[AC97_EXTENDED_STATUS]);
2528                         if (ac97->flags & AC97_CS_SPDIF)
2529                                 snd_ac97_write(ac97, AC97_CSR_SPDIF, ac97->regs[AC97_CSR_SPDIF]);
2530                         else
2531                                 snd_ac97_write(ac97, AC97_SPDIF, ac97->regs[AC97_SPDIF]);
2532                         snd_ac97_update_bits(ac97, AC97_EXTENDED_STATUS, AC97_EA_SPDIF, AC97_EA_SPDIF); /* turn on again */
2533                 }
2534         }
2535 }
2536
2537 /**
2538  * snd_ac97_resume - General resume function for AC97 codec
2539  * @ac97: the ac97 instance
2540  *
2541  * Do the standard resume procedure, power up and restoring the
2542  * old register values.
2543  */
2544 void snd_ac97_resume(struct snd_ac97 *ac97)
2545 {
2546         unsigned long end_time;
2547
2548         if (! ac97)
2549                 return;
2550
2551         if (ac97->bus->ops->reset) {
2552                 ac97->bus->ops->reset(ac97);
2553                 goto  __reset_ready;
2554         }
2555
2556         snd_ac97_write(ac97, AC97_POWERDOWN, 0);
2557         if (! (ac97->flags & AC97_DEFAULT_POWER_OFF)) {
2558                 if (!(ac97->scaps & AC97_SCAP_SKIP_AUDIO))
2559                         snd_ac97_write(ac97, AC97_RESET, 0);
2560                 else if (!(ac97->scaps & AC97_SCAP_SKIP_MODEM))
2561                         snd_ac97_write(ac97, AC97_EXTENDED_MID, 0);
2562                 udelay(100);
2563                 snd_ac97_write(ac97, AC97_POWERDOWN, 0);
2564         }
2565         snd_ac97_write(ac97, AC97_GENERAL_PURPOSE, 0);
2566
2567         snd_ac97_write(ac97, AC97_POWERDOWN, ac97->regs[AC97_POWERDOWN]);
2568         if (ac97_is_audio(ac97)) {
2569                 ac97->bus->ops->write(ac97, AC97_MASTER, 0x8101);
2570                 end_time = jiffies + msecs_to_jiffies(100);
2571                 do {
2572                         if (snd_ac97_read(ac97, AC97_MASTER) == 0x8101)
2573                                 break;
2574                         schedule_timeout_uninterruptible(1);
2575                 } while (time_after_eq(end_time, jiffies));
2576                 /* FIXME: extra delay */
2577                 ac97->bus->ops->write(ac97, AC97_MASTER, AC97_MUTE_MASK_MONO);
2578                 if (snd_ac97_read(ac97, AC97_MASTER) != AC97_MUTE_MASK_MONO)
2579                         msleep(250);
2580         } else {
2581                 end_time = jiffies + msecs_to_jiffies(100);
2582                 do {
2583                         unsigned short val = snd_ac97_read(ac97, AC97_EXTENDED_MID);
2584                         if (val != 0xffff && (val & 1) != 0)
2585                                 break;
2586                         schedule_timeout_uninterruptible(1);
2587                 } while (time_after_eq(end_time, jiffies));
2588         }
2589 __reset_ready:
2590
2591         if (ac97->bus->ops->init)
2592                 ac97->bus->ops->init(ac97);
2593
2594         if (ac97->build_ops->resume)
2595                 ac97->build_ops->resume(ac97);
2596         else {
2597                 snd_ac97_restore_status(ac97);
2598                 snd_ac97_restore_iec958(ac97);
2599         }
2600 }
2601
2602 EXPORT_SYMBOL(snd_ac97_resume);
2603 #endif
2604
2605
2606 /*
2607  * Hardware tuning
2608  */
2609 static void set_ctl_name(char *dst, const char *src, const char *suffix)
2610 {
2611         if (suffix)
2612                 sprintf(dst, "%s %s", src, suffix);
2613         else
2614                 strcpy(dst, src);
2615 }       
2616
2617 /* remove the control with the given name and optional suffix */
2618 static int snd_ac97_remove_ctl(struct snd_ac97 *ac97, const char *name,
2619                                const char *suffix)
2620 {
2621         struct snd_ctl_elem_id id;
2622         memset(&id, 0, sizeof(id));
2623         set_ctl_name(id.name, name, suffix);
2624         id.iface = SNDRV_CTL_ELEM_IFACE_MIXER;
2625         return snd_ctl_remove_id(ac97->bus->card, &id);
2626 }
2627
2628 static struct snd_kcontrol *ctl_find(struct snd_ac97 *ac97, const char *name, const char *suffix)
2629 {
2630         struct snd_ctl_elem_id sid;
2631         memset(&sid, 0, sizeof(sid));
2632         set_ctl_name(sid.name, name, suffix);
2633         sid.iface = SNDRV_CTL_ELEM_IFACE_MIXER;
2634         return snd_ctl_find_id(ac97->bus->card, &sid);
2635 }
2636
2637 /* rename the control with the given name and optional suffix */
2638 static int snd_ac97_rename_ctl(struct snd_ac97 *ac97, const char *src,
2639                                const char *dst, const char *suffix)
2640 {
2641         struct snd_kcontrol *kctl = ctl_find(ac97, src, suffix);
2642         if (kctl) {
2643                 set_ctl_name(kctl->id.name, dst, suffix);
2644                 return 0;
2645         }
2646         return -ENOENT;
2647 }
2648
2649 /* rename both Volume and Switch controls - don't check the return value */
2650 static void snd_ac97_rename_vol_ctl(struct snd_ac97 *ac97, const char *src,
2651                                     const char *dst)
2652 {
2653         snd_ac97_rename_ctl(ac97, src, dst, "Switch");
2654         snd_ac97_rename_ctl(ac97, src, dst, "Volume");
2655 }
2656
2657 /* swap controls */
2658 static int snd_ac97_swap_ctl(struct snd_ac97 *ac97, const char *s1,
2659                              const char *s2, const char *suffix)
2660 {
2661         struct snd_kcontrol *kctl1, *kctl2;
2662         kctl1 = ctl_find(ac97, s1, suffix);
2663         kctl2 = ctl_find(ac97, s2, suffix);
2664         if (kctl1 && kctl2) {
2665                 set_ctl_name(kctl1->id.name, s2, suffix);
2666                 set_ctl_name(kctl2->id.name, s1, suffix);
2667                 return 0;
2668         }
2669         return -ENOENT;
2670 }
2671
2672 #if 1
2673 /* bind hp and master controls instead of using only hp control */
2674 static int bind_hp_volsw_put(struct snd_kcontrol *kcontrol, struct snd_ctl_elem_value *ucontrol)
2675 {
2676         int err = snd_ac97_put_volsw(kcontrol, ucontrol);
2677         if (err > 0) {
2678                 unsigned long priv_saved = kcontrol->private_value;
2679                 kcontrol->private_value = (kcontrol->private_value & ~0xff) | AC97_HEADPHONE;
2680                 snd_ac97_put_volsw(kcontrol, ucontrol);
2681                 kcontrol->private_value = priv_saved;
2682         }
2683         return err;
2684 }
2685
2686 /* ac97 tune: bind Master and Headphone controls */
2687 static int tune_hp_only(struct snd_ac97 *ac97)
2688 {
2689         struct snd_kcontrol *msw = ctl_find(ac97, "Master Playback Switch", NULL);
2690         struct snd_kcontrol *mvol = ctl_find(ac97, "Master Playback Volume", NULL);
2691         if (! msw || ! mvol)
2692                 return -ENOENT;
2693         msw->put = bind_hp_volsw_put;
2694         mvol->put = bind_hp_volsw_put;
2695         snd_ac97_remove_ctl(ac97, "Headphone Playback", "Switch");
2696         snd_ac97_remove_ctl(ac97, "Headphone Playback", "Volume");
2697         return 0;
2698 }
2699
2700 #else
2701 /* ac97 tune: use Headphone control as master */
2702 static int tune_hp_only(struct snd_ac97 *ac97)
2703 {
2704         if (ctl_find(ac97, "Headphone Playback Switch", NULL) == NULL)
2705                 return -ENOENT;
2706         snd_ac97_remove_ctl(ac97, "Master Playback", "Switch");
2707         snd_ac97_remove_ctl(ac97, "Master Playback", "Volume");
2708         snd_ac97_rename_vol_ctl(ac97, "Headphone Playback", "Master Playback");
2709         return 0;
2710 }
2711 #endif
2712
2713 /* ac97 tune: swap Headphone and Master controls */
2714 static int tune_swap_hp(struct snd_ac97 *ac97)
2715 {
2716         if (ctl_find(ac97, "Headphone Playback Switch", NULL) == NULL)
2717                 return -ENOENT;
2718         snd_ac97_rename_vol_ctl(ac97, "Master Playback", "Line-Out Playback");
2719         snd_ac97_rename_vol_ctl(ac97, "Headphone Playback", "Master Playback");
2720         return 0;
2721 }
2722
2723 /* ac97 tune: swap Surround and Master controls */
2724 static int tune_swap_surround(struct snd_ac97 *ac97)
2725 {
2726         if (snd_ac97_swap_ctl(ac97, "Master Playback", "Surround Playback", "Switch") ||
2727             snd_ac97_swap_ctl(ac97, "Master Playback", "Surround Playback", "Volume"))
2728                 return -ENOENT;
2729         return 0;
2730 }
2731
2732 /* ac97 tune: set up mic sharing for AD codecs */
2733 static int tune_ad_sharing(struct snd_ac97 *ac97)
2734 {
2735         unsigned short scfg;
2736         if ((ac97->id & 0xffffff00) != 0x41445300) {
2737                 ac97_err(ac97, "ac97_quirk AD_SHARING is only for AD codecs\n");
2738                 return -EINVAL;
2739         }
2740         /* Turn on OMS bit to route microphone to back panel */
2741         scfg = snd_ac97_read(ac97, AC97_AD_SERIAL_CFG);
2742         snd_ac97_write_cache(ac97, AC97_AD_SERIAL_CFG, scfg | 0x0200);
2743         return 0;
2744 }
2745
2746 static const struct snd_kcontrol_new snd_ac97_alc_jack_detect = 
2747 AC97_SINGLE("Jack Detect", AC97_ALC650_CLOCK, 5, 1, 0);
2748
2749 /* ac97 tune: set up ALC jack-select */
2750 static int tune_alc_jack(struct snd_ac97 *ac97)
2751 {
2752         if ((ac97->id & 0xffffff00) != 0x414c4700) {
2753                 ac97_err(ac97,
2754                          "ac97_quirk ALC_JACK is only for Realtek codecs\n");
2755                 return -EINVAL;
2756         }
2757         snd_ac97_update_bits(ac97, 0x7a, 0x20, 0x20); /* select jack detect function */
2758         snd_ac97_update_bits(ac97, 0x7a, 0x01, 0x01); /* Line-out auto mute */
2759         if (ac97->id == AC97_ID_ALC658D)
2760                 snd_ac97_update_bits(ac97, 0x74, 0x0800, 0x0800);
2761         return snd_ctl_add(ac97->bus->card, snd_ac97_cnew(&snd_ac97_alc_jack_detect, ac97));
2762 }
2763
2764 /* ac97 tune: inversed EAPD bit */
2765 static int tune_inv_eapd(struct snd_ac97 *ac97)
2766 {
2767         struct snd_kcontrol *kctl = ctl_find(ac97, "External Amplifier", NULL);
2768         if (! kctl)
2769                 return -ENOENT;
2770         set_inv_eapd(ac97, kctl);
2771         return 0;
2772 }
2773
2774 static int master_mute_sw_put(struct snd_kcontrol *kcontrol, struct snd_ctl_elem_value *ucontrol)
2775 {
2776         int err = snd_ac97_put_volsw(kcontrol, ucontrol);
2777         if (err > 0) {
2778                 struct snd_ac97 *ac97 = snd_kcontrol_chip(kcontrol);
2779                 int shift = (kcontrol->private_value >> 8) & 0x0f;
2780                 int rshift = (kcontrol->private_value >> 12) & 0x0f;
2781                 unsigned short mask;
2782                 if (shift != rshift)
2783                         mask = AC97_MUTE_MASK_STEREO;
2784                 else
2785                         mask = AC97_MUTE_MASK_MONO;
2786                 snd_ac97_update_bits(ac97, AC97_POWERDOWN, AC97_PD_EAPD,
2787                                      (ac97->regs[AC97_MASTER] & mask) == mask ?
2788                                      AC97_PD_EAPD : 0);
2789         }
2790         return err;
2791 }
2792
2793 /* ac97 tune: EAPD controls mute LED bound with the master mute */
2794 static int tune_mute_led(struct snd_ac97 *ac97)
2795 {
2796         struct snd_kcontrol *msw = ctl_find(ac97, "Master Playback Switch", NULL);
2797         if (! msw)
2798                 return -ENOENT;
2799         msw->put = master_mute_sw_put;
2800         snd_ac97_remove_ctl(ac97, "External Amplifier", NULL);
2801         snd_ac97_update_bits(
2802                 ac97, AC97_POWERDOWN,
2803                 AC97_PD_EAPD, AC97_PD_EAPD /* mute LED on */
2804         );
2805         ac97->scaps |= AC97_SCAP_EAPD_LED;
2806         return 0;
2807 }
2808
2809 static int hp_master_mute_sw_put(struct snd_kcontrol *kcontrol,
2810                                  struct snd_ctl_elem_value *ucontrol)
2811 {
2812         int err = bind_hp_volsw_put(kcontrol, ucontrol);
2813         if (err > 0) {
2814                 struct snd_ac97 *ac97 = snd_kcontrol_chip(kcontrol);
2815                 int shift = (kcontrol->private_value >> 8) & 0x0f;
2816                 int rshift = (kcontrol->private_value >> 12) & 0x0f;
2817                 unsigned short mask;
2818                 if (shift != rshift)
2819                         mask = AC97_MUTE_MASK_STEREO;
2820                 else
2821                         mask = AC97_MUTE_MASK_MONO;
2822                 snd_ac97_update_bits(ac97, AC97_POWERDOWN, AC97_PD_EAPD,
2823                                      (ac97->regs[AC97_MASTER] & mask) == mask ?
2824                                      AC97_PD_EAPD : 0);
2825         }
2826         return err;
2827 }
2828
2829 static int tune_hp_mute_led(struct snd_ac97 *ac97)
2830 {
2831         struct snd_kcontrol *msw = ctl_find(ac97, "Master Playback Switch", NULL);
2832         struct snd_kcontrol *mvol = ctl_find(ac97, "Master Playback Volume", NULL);
2833         if (! msw || ! mvol)
2834                 return -ENOENT;
2835         msw->put = hp_master_mute_sw_put;
2836         mvol->put = bind_hp_volsw_put;
2837         snd_ac97_remove_ctl(ac97, "External Amplifier", NULL);
2838         snd_ac97_remove_ctl(ac97, "Headphone Playback", "Switch");
2839         snd_ac97_remove_ctl(ac97, "Headphone Playback", "Volume");
2840         snd_ac97_update_bits(
2841                 ac97, AC97_POWERDOWN,
2842                 AC97_PD_EAPD, AC97_PD_EAPD /* mute LED on */
2843         );
2844         return 0;
2845 }
2846
2847 struct quirk_table {
2848         const char *name;
2849         int (*func)(struct snd_ac97 *);
2850 };
2851
2852 static struct quirk_table applicable_quirks[] = {
2853         { "none", NULL },
2854         { "hp_only", tune_hp_only },
2855         { "swap_hp", tune_swap_hp },
2856         { "swap_surround", tune_swap_surround },
2857         { "ad_sharing", tune_ad_sharing },
2858         { "alc_jack", tune_alc_jack },
2859         { "inv_eapd", tune_inv_eapd },
2860         { "mute_led", tune_mute_led },
2861         { "hp_mute_led", tune_hp_mute_led },
2862 };
2863
2864 /* apply the quirk with the given type */
2865 static int apply_quirk(struct snd_ac97 *ac97, int type)
2866 {
2867         if (type <= 0)
2868                 return 0;
2869         else if (type >= ARRAY_SIZE(applicable_quirks))
2870                 return -EINVAL;
2871         if (applicable_quirks[type].func)
2872                 return applicable_quirks[type].func(ac97);
2873         return 0;
2874 }
2875
2876 /* apply the quirk with the given name */
2877 static int apply_quirk_str(struct snd_ac97 *ac97, const char *typestr)
2878 {
2879         int i;
2880         struct quirk_table *q;
2881
2882         for (i = 0; i < ARRAY_SIZE(applicable_quirks); i++) {
2883                 q = &applicable_quirks[i];
2884                 if (q->name && ! strcmp(typestr, q->name))
2885                         return apply_quirk(ac97, i);
2886         }
2887         /* for compatibility, accept the numbers, too */
2888         if (*typestr >= '0' && *typestr <= '9')
2889                 return apply_quirk(ac97, (int)simple_strtoul(typestr, NULL, 10));
2890         return -EINVAL;
2891 }
2892
2893 /**
2894  * snd_ac97_tune_hardware - tune up the hardware
2895  * @ac97: the ac97 instance
2896  * @quirk: quirk list
2897  * @override: explicit quirk value (overrides the list if non-NULL)
2898  *
2899  * Do some workaround for each pci device, such as renaming of the
2900  * headphone (true line-out) control as "Master".
2901  * The quirk-list must be terminated with a zero-filled entry.
2902  *
2903  * Return: Zero if successful, or a negative error code on failure.
2904  */
2905
2906 int snd_ac97_tune_hardware(struct snd_ac97 *ac97,
2907                            const struct ac97_quirk *quirk, const char *override)
2908 {
2909         int result;
2910
2911         /* quirk overriden? */
2912         if (override && strcmp(override, "-1") && strcmp(override, "default")) {
2913                 result = apply_quirk_str(ac97, override);
2914                 if (result < 0)
2915                         ac97_err(ac97, "applying quirk type %s failed (%d)\n",
2916                                  override, result);
2917                 return result;
2918         }
2919
2920         if (! quirk)
2921                 return -EINVAL;
2922
2923         for (; quirk->subvendor; quirk++) {
2924                 if (quirk->subvendor != ac97->subsystem_vendor)
2925                         continue;
2926                 if ((! quirk->mask && quirk->subdevice == ac97->subsystem_device) ||
2927                     quirk->subdevice == (quirk->mask & ac97->subsystem_device)) {
2928                         if (quirk->codec_id && quirk->codec_id != ac97->id)
2929                                 continue;
2930                         ac97_dbg(ac97, "ac97 quirk for %s (%04x:%04x)\n",
2931                                  quirk->name, ac97->subsystem_vendor,
2932                                  ac97->subsystem_device);
2933                         result = apply_quirk(ac97, quirk->type);
2934                         if (result < 0)
2935                                 ac97_err(ac97,
2936                                          "applying quirk type %d for %s failed (%d)\n",
2937                                          quirk->type, quirk->name, result);
2938                         return result;
2939                 }
2940         }
2941         return 0;
2942 }
2943
2944 EXPORT_SYMBOL(snd_ac97_tune_hardware);
2945
2946 /*
2947  *  INIT part
2948  */
2949
2950 static int __init alsa_ac97_init(void)
2951 {
2952         return 0;
2953 }
2954
2955 static void __exit alsa_ac97_exit(void)
2956 {
2957 }
2958
2959 module_init(alsa_ac97_init)
2960 module_exit(alsa_ac97_exit)